自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(37)
  • 资源 (13)
  • 收藏
  • 关注

原创 LTC时间码解码器SMPTE时间码视频音频灯光同步曼彻斯特解码器

该产品用于电影播放器等其他能产生LTC时间码的设备,LTC时间码解码,将解析后的时间通过UDP协议转发给其他设备已做同步。LTC时间码支持24帧、25帧、29帧、30帧格式,UDP输出时间格式为HH:MM:SS:FF(时分秒帧)采用FPGA硬件解码,性能稳定,结果准确联系方式:18810293816...

2020-08-02 23:04:52 4620 1

原创 进入root权限的两种方法

第二种方法:root(非暂时的):输入sudo passwd root,再输入用户密码,接下来会让你设置root密码,并再次确认。原文链接:https://blog.csdn.net/m0_67402026/article/details/126434739。接下来输入su,再输入刚刚设置的root密码就可以进入root了。第一种方法:sudo(暂时的):使用root权限而不是直接进入,*进入root权限的两种方法。sudo + <指令>

2023-04-11 17:22:05 4629

转载 Xilinx FPGA的MultiBoot功能介绍和实现

FPGA器件上电后会从0地址开始加载Golden Image后,会根据里面的设定来决定加载Golden Image还是直接跳转到MultiBoot Image存储地址去执行MultiBoot Image;2、在一个工程里面实现多个功能模块,而每个功能模块不需要同时运行的情况,可以通过MultiBoot功能使用相对小规模的FPGA来实现其功能,从而提高性价比;下面我们尝试把multiboot image里面的IDCODE修改掉,人为的造成错误来验证板卡是否会跳转到Golden Image去执行。

2023-03-01 00:15:36 3370

转载 Xilinx FPGA 从spi flash启动配置数据时的地址问题在线加载

校验错误, deign2.bit 相关的内容不变,烧写进flash,上电, FPGA 无法正常配置数据,既不会从deign1.bit启动,也不能从deign2.bit 启动,这是因为第一个bit在前面, fpga 上电后从 0x000000 地址开始读,会先读到 deign1.bit ,然后从 deign1.bit 开始初始化,但是会发生CRC错误,然后又fallback 回 0 地址读,依然是读到 design1.bit 的数据,还是 CRC 错误,最后发生配置失败。

2023-02-28 22:00:22 1311

原创 xilinx ibert 2017.4版本问题

ibert在2014.2版本正常使用,能正常link,但是在2017.4版本中有时不link有时link。并且速率不对,是需要执行rx reset。2014.2中不需要。

2022-10-09 23:12:27 376

转载 老男孩读PCIe之一:从PCIe速度说起

老男孩读PCIe之一:从PCIe速度说起Posted on2017年8月3日bySSD Fans原创内容,转载请注明: [http://www.ssdfans.com]谢谢!从今天开始,老男孩要开始讲PCIe了。对我来说,这是个很大的挑战:首先,我自己本身,对PCIe并没有做到胸有成竹,我的PCIe知识也只是停留在理论阶段,我并没有实际做过任何有关PCIe的东西;其次,我要...

2019-09-17 16:14:57 2011

转载 老男孩读PCIe之六:配置和地址空间

老男孩读PCIe之六:配置和地址空间Posted on2017年8月3日bySSD Fans原创内容,转载请注明: [http://www.ssdfans.com]谢谢!每个PCIe设备,有这么一段空间,Host软件可以读取它获得该设备的一些信息,也可以通过它来配置该设备,这段空间就叫做PCIe的配置空间。不同于每个设备的其它空间,PCIe设备的配置空间是协议规定好的,哪个...

2019-09-17 16:09:33 1596

原创 Server 2008 R2远程桌面授权,解决120天过期问题

Server 2008 R2远程桌面授权,解决120天过期问题https://blog.csdn.net/menghuanhuolong6/article/details/52588394

2019-09-11 17:19:56 2240

转载 uboot

对于嵌入式为什么要有uboot的深度解析发表于 2018-01-17 17:15:20电子说+关注为什么要有uboot1.1、计算机系统的主要部件(1)计算机系统就是以CPU为核心来运行的系统。典型的计算机系统有:PC机(台式机+笔记本)、嵌入式设备(手机、平板电脑、游戏机)、单片机(家用电器像电饭锅、空调)(2)计算机系统的组成部件非常多,不同的计算机系统组成部件也不...

2019-08-04 15:21:52 280

转载 学嵌入式为什么要学Linux?

学嵌入式为什么要学Linux?2015年04月08日 16:04:49Lmikic阅读数 3098首先,ARM只是一个32位处理器,可以像51单片机一样用汇编直接操作它的寄存器、RAM、ROM等内部资源,当然也可以用C语言!那么如果只是把ARM当做单片机来用,那就有点大材小用了,那也就没必要学什么linux了。事实上,ARM是通常都是用来做比较复杂的系统的,而且一般都是多任务系统的,...

2019-07-23 10:12:00 5838

转载 单片机 数组 赋初值

C:char 数组赋值2018年11月26日 20:51:15SSS_369阅读数 47版权声明:本文为博主原创文章,未经博主允许不得转载。 https://blog.csdn.net/sss_369/article/details/84556179定义一个数组char a[10];怎么给这个数组赋值呢?1. 定义的时候直接用字符串赋值char a[10]="hello";...

2019-07-14 11:09:58 3310

转载 将Altera FPGA的sof文件和NIOS II的elf固件合并为一个jic文件以使用Quartus Programmer烧写

将Altera FPGA的sof文件和NIOS II的elf固件合并为一个jic文件以使用Quartus Programmer烧写我们在学习和调试NIOS II工程的时候,一般都是先使用Quartus II软件中提供的Quartus Programmer来烧写FPGA配置文件(SOF),然后NIOS II EDS中提供的Flash Programmer工具来进行烧写NIOS II的。这对...

2019-07-10 10:52:49 1054

转载 创建一个带Nios II软核和硬核映象的jic文件

创建一个带Nios II软核和硬核映象的jic文件(JTAG Indirect Configuration File)2017年12月16日 21:51:52yoru之光阅读数 309在前面单纯的硬件固化中提过创建jic文件,现在把软核和硬核合起来创建jic文件,这里通过nios2 command shell来创建前期必要的hex文件(ps:若发现有问题的地方,欢迎指出错误,之前不小心...

2019-07-10 09:37:07 514

转载 impact4.exe has stop work

AR# 62380ISE Install - Guide to Installing and Running ISE 10.1 or 14.7 on a Windows 8.1 or Windows 10 machineDescription SolutionDescriptionThe following issues might occur when install...

2019-07-05 08:56:11 1033

转载 TO-220与TO-220F的区别 封装

TO-220与TO-220F的区别(性能、外观尺寸)及为何要分两种封装-KIA MOS管信息来源:本站 日期:2018-12-28 分享到:TO-220TO-220与TO-220F的区别下文将会讲到,现在了解一下TO-220与TO220F是什么?TO-220封装外形( Transistor Outline Package)是一种大功率晶体管、中小规模集成电路等常采用的一种直插式...

2019-06-12 14:50:43 22235 2

转载 完整的芯片反向设计流程原来是这样的!(实例讲解)

完整的芯片反向设计流程原来是这样的!(实例讲解)作者:时间:2018-02-23来源:网络收藏  现代IC产业的市场竞争十分激烈,所有产品都是日新月异,使得各IC设计公司必须不断研发新产品,维持自身企业的竞争力。IC设计公司常常要根据市场需求进入一个全然陌生的应用和技术领域,这是一件高风险的投资行为。并且及时了解同类竞争对手芯片的成本和技术优势成为必然的工作。本文引用地址:...

2019-06-08 21:53:24 13049 2

转载 芯片的设计流程和流片成本

每天都在用,但你知道芯片的设计流程和流片成本吗?2017-05-10 06:10来源:半导行业观察芯片,是无数设计工程师们烧死很多脑细胞后产生的作品,完全可以称得上是当代的艺术品。无论是电工们,还是科技小白,甚至是大妈们,离开了芯片,生活都无法继续(是不是说得太严重了)。集成电路是怎么来得呢,当然是设计出来的呗,这不是废话吗?但是,你知道具体的设计流程吗?下面,就请目不转睛地跟着...

2019-06-08 21:32:27 25972

转载 FPGA的时钟质量对设计的影响

FPGA的时钟质量对设计的影响小梅哥编写,未经许可严禁用于任何商业用途 近期,一直在调试使用Verilog编写的以太网发送摄像头数据到电脑的工程(以下简称以太网图传)。该工程基于今年设计的一款FPGA教学板AC620。AC620上有一个百兆以太网接口和一个通用CMOS摄像头接口,因此非常适合实现以太网图传功能。CMOS摄像头接口没有什么好说的,就是IO而已,这里先重点介...

2019-06-04 15:20:16 1062

转载 Altium designer 规则检查常出的问题汇总

https://wenku.baidu.com/view/4057599d680203d8ce2f24c1.html

2019-05-26 18:52:13 3101

转载 AD导入3D模型

我之前写过一篇文章也是说AD的3D模型的:http://blog.csdn.net/tq384998430/article/details/78614014介绍的是使用AD自带的功能绘制3D模型,但是这样绘制出来的形状并不是很好看,如果导入元器件的CAD图形的话3D显示效果会更好,下图就是导入STEP文件的3D模型的元件:这样就非常好看了,我们绘制完PCB的时候使用3D Vie...

2019-05-26 17:25:18 8702

转载 LM7805 输出电流

LM7805是一款常用的线性三端稳压IC,其外形封装虽然有多种,但输出电压皆为5V,区别就是封装不同,最大输出电流可能不一样。最常用的是如下图所示的TO-220封装的7805。▲ TO-220封装的7805。上图所示的这种封装的7805,最高输入电压为35V,输出电压为固定5V电压(通过在其②脚外接电阻或稳压管,亦可使其输出电压高于5V),原装的最大输出电流为1.5A,其自身散热片与其②脚(G...

2019-05-26 15:55:36 13702

原创 国产FPGA市场格局及进展

国产FPGA市场格局及进展时间:2018-05-26 11:25来源:电子技术应用网摘要:FPGA作为通信、航天、军工等领域的关键核心器件,是保障国家战略安全的重要支撑基础。近年来,随着大数据、云计算和人工智能的发展,FPGA的应用领域得到快速扩张。FPGA作为通信、航天、军工等领域的关键核心器件,是保障国家战略安全的重要支撑基础。近年来,随着大数据、云计算和人工智能的发展,FPGA的...

2019-05-18 08:49:30 4189

转载 关于SIM800C 2G通信模块的电路设计上需要注意的地方

关于SIM800C 2G通信模块的电路设计上需要注意的地方 [复制链接] 563872381hai 电梯直达 1楼 发表于 2016-10-18 13:18:49|只看该作者|倒序浏览|阅读模式 本帖最后由 563872381hai 于 2016-10-18 13:20 编辑 ...

2019-05-17 15:53:36 4184

原创 PTP简介 理解

在通信网络中,许多业务的正常运行都要求网络时钟同步,即整个网络各设备之间的时间或频率差保持在合理的误差水平内。网络时钟同步包括以下两个概念:l时间同步:也叫相位同步(Phase synchronization),是指信号之间的频率和相位都保持一致,即信号之间的相位差恒为零。l频率同步(Frequency synchronizat...

2019-05-17 15:52:28 36881 3

原创 TWAMP 理解

1. IntroductionTow-Way Active Measurement Pootocol (TWAMP) is an open protocol for measuring network performance between any tow devices in a network that supports the protocols in the TWAMP framew...

2019-05-17 15:33:23 6632

转载 单片机C语言指针意义浅析—Keil-C51

单片机C语言指针意义浅析—Keil-C512017年06月24日 16:33:00lucky_草木皆兵阅读数:482通常认为,C语言之所以强大,以及其自由性,很大部分体现在其灵活的指针运用上,甚至认为指针是C语言的灵魂。这里说通常,是广义上的,因为随着编程语言的发展,指针也饱受争议,并不是所有人都承认指针的“强大”和“优点”。在单片机领域,指针同样有着应用,本章节针对Keil C-51...

2019-05-15 16:28:28 3837

转载 STC单片机xdata的使用疑惑

STC单片机xdata的使用疑惑 [复制链接] jxrjamu发表于 2018-10-30 11:56|显示全部楼层 按照STC8的数据手册 #define uchar unsigned charuchar xdata a; 这样定义可以编译都是程序异常不知道怎么回事。 那我大神可以科...

2019-05-15 14:43:40 6799

转载 说明(signed) char与unsigned char之间的区别

在C中,默认的基础数据类型均为signed,现在我们以char为例,说明(signed) char与unsigned char之间的区别首先在内存中,char与unsigned char没有什么不同,都是一个字节,唯一的区别是,char的最高位为符号位,因此char能表示-128~127, unsigned char没有符号位,因此能表示0~255,这个好理解,8个bit,最多256种情况,...

2019-05-15 14:42:01 1968

转载 hex码和ascii码的转换

hex码和ascii码的转换2017年01月09日 17:48:25changeyourmind阅读数:4784版权声明:本文为博主原创文章,未经博主允许不得转载。 https://blog.csdn.net/changyourmind/article/details/54291153 //0x00~0x0F转换为ASCII码 unsigned char he...

2019-05-13 17:18:45 14160

转载 单片机双串口通信程序问题

/***********************************************************************时间:2012.11.24芯片:STC12C5A60S2晶振:22.1184MHz 波特率:9600bps引脚定义:串行口1:发送————TxD/P3.1; 接收———.000—RxD/P3 串行口2: 发送——...

2019-05-13 14:54:36 1140

转载 SIM800C 不停的回复Call Ready NET指示灯一直不能进入3秒闪烁的状态

关于SIM800C MINI V4.0 V4版本 5v供电模块重启问题现象描述模块不停重启,发送AT时候能看到,不停的回复Call Ready或者SIM卡确认没问题,但是NET指示灯一直不能进入3秒闪烁的状态。1.内核要求SIM800C内核要求需要电源有瞬间有2A电流提供能力,模块电源经过DC-DC稳压后输出是4v电压。也就说需要VBAT和GND是4V2A的2.所以Vin和...

2019-05-11 17:02:49 4101

转载 modubus 源码

https://blog.csdn.net/chensufei24/article/details/82995720https://blog.csdn.net/liucheng5037/article/details/49048803

2019-05-10 10:15:24 701

转载 stc单片机如何用C程序将IO口设为强推挽输出

stc单片机如何用C程序将IO口设为强推挽输出!!!2014年07月10日 18:52:05daa20阅读数:12605网摘一:stc单片机如何将IO口设为强推挽输出C程序怎么设置比如我设P1.1口为强推挽输出可通过设置PXM1:PXM0的值:PXM1:PXM0 0 0 准双向口(传统IO) 0 1 推挽输出(强上拉 ,电流可达20mA,尽量少...

2019-05-06 22:09:29 6335

转载 STC15W4K48S4下载不进程序

STC15W4K48S4下载不进程序,问题出在哪里呢?博学而笃志2016-1-16 11:08:307179STC15分享 0 因为之前自己一直用的是STC89C52和STC12C5A60S2。没有用过STC15,但是这段时间想用STC15做一个MP3播放器。 我借着之前学宏晶单片机的底子就自己做了一个STC15最小...

2019-05-06 17:23:52 3270

转载 485能挂载多少个设备

https://wenku.baidu.com/view/649f8f8b3186bceb19e8bbb2.html

2019-05-03 10:59:34 2087

转载 单片机串口接收多字节数据

单片机串口接收多字节数据2017年07月14日 16:52:13Phenixyf阅读数:7123http://bbs.elecfans.com/jishu_409918_1_1.html各位大侠看一下,我下面的程序为什么不能接收两个字节的数据呢?#include<reg51.h>#define uchar unsigned char#define uint uns...

2019-05-02 23:05:48 6340

转载 单片机串口接收多字节

转自:http://bbs.ednchina.com/BLOG_ARTICLE_3007162.HTM感觉串口多字节接收部分的逻辑相对于配置寄存器跟串口回复来说,是有点难度的——寄存器配置基本上都是死的,串口回复多字节跟回复一字节只是多了一个循环。 串口接收程序是基于串口中断的,单片机的串口每次接收到一字节数据产生一次中断,然后再读取某个寄存器就可以得到串口接收的数...

2019-05-02 22:28:13 7959 3

vivado 2014 liense

vivado 2014 liense 真实可用 到2055年 liense vivado

2018-05-28

AD采样 FPGA实现设计说明——03

AD采样 FPGA实现设计说明——03 文档类说明 ad采样 fpga 实现

2018-05-28

AD采样 FPGA实现设计说明——02

AD采样 FPGA实现设计说明——02 部分二 文档说明 类 实现文档

2018-05-28

dpdk + fpga 需求文档

dpdk + fpga 需求文档 dpdk fpga实现需求文档 dpdk

2018-05-28

ADATE320 pdf datasheet

ADATE320 pdf datasheet 数据芯片手册 数据传输类

2018-05-28

hs_solution mipi lvds 接口要求说明

hs_solution mipi lvds 接口要求说明 文档要求说明

2018-05-28

项目进度调试报告,cpld,arm

项目进度调试报告,cpld,arm,公司项目报告,文档说明

2018-05-28

LQ047k3sx08

LQ047k3s传输 x08 芯片手册 介绍 网络通信 网络

2018-05-27

10G以太网 wrapper

10G以太网 wrapper 千兆万兆以太网控制器 wrapper 万兆

2018-05-27

10g_mac_example 万兆以太网

10g_mac_example 万兆以太网 参考实例例程 千兆万兆以太网

2018-05-27

vivado how to write flash

vivado how to write flash,参考实例,xilinx fpga 类

2018-05-27

xilinx K7/kintex 325t 管脚

xilinx K7/kintex 325t 管脚list,管脚说明,676封装

2018-05-27

bcm56330破解文档

bcm56330技术文档 破解后的 用于交换机芯片作用

2015-07-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除