- 博客(0)
- 资源 (14)
- 收藏
- 关注
FPGA驱动VGA接口的VHDL语言实现
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity vgacore is
Port ( clk : in std_logic;
reset : in std_logic;
md : in std_logic_vector(1 downto 0);
hs : out std_logic;
vs : out std_logic;
r : out std_logic_vector(1 downto 0);
g : out std_logic_vector(2 downto 0);
b : out std_logic_vector(2 downto 0)
);
end vgacore;
2012-04-29
VHDL本性语句与顺序语句的理解
VHDL的并行语句用来描述一组并发行为,它是并发执行的,与程序的书写顺序无关。
进程语句
begin
进程语句包含在结构体中,一个结构体可以有多个进程语句,多个进程语句间是并行的,并可访问结构体或实体中定义的信号。因此进程语句称为并行描述语句。
....................
2012-04-29
店铺(商铺)视频监控方案
本系统从功能结构的角度看,可以分成前端部分,传输部分和终端部分。其中,前端部分由红外彩色半球型广角摄像机、室内球型云台摄像机组成;传输部分由四路网络视频服务器构成;而终端部分则由监控客户端软件及显示设备组成。
2012-03-13
MSP430操作TUSB3410
This ZIP archive is part of the application note
"MSP430 USB Connectivity using TUSB3410" - SLAA276A
-----------------------------------------------------------------------------------------------
Folder 'EXE' - executable MSP430 and PC software
EXE\TUSB3410Demo.exe PC demo application
EXE\BSLDEMO2.exe BSL software, modified for code download through USB
EXE\F16xDemoFirmware.txt Demo firmware image in MSP430-TXT format, MSP430F16x solution
EXE\F16xFET1.txt Flashing LED demo software image in MSP430-TXT format, MSP430F16x solution
Folder 'F16X_GERBERS' - Board layout files in Gerber format, MSP430F16x solution
Folder 'F22XX_GERBERS' - Board layout files in Gerber format, MSP430F22xx solution
Folder 'MSP430F16X_SW_CCE' - Code Composer Essentials V2.0 MSP430 source code, MSP430F16x solution
Folder 'MSP430F16X_SW_IAR' - IAR Embedded Workbench V3.41A MSP430 source code, MSP430F16x solution
Folder 'MSP430F22XX_SW_CCE' - Code Composer Essentials V2.0 MSP430 source code, MSP430F22xx solution
Folder 'MSP430F22XX_SW_IAR' - IAR Embedded Workbench V3.41A MSP430 source code, MSP430F22xx solution
Folder 'MSP430FG461x_EEPROM_CCE' - Code Composer Essentials V2.0 MSP430FG461x code example of an EEPROM emulation
Folder 'MSP430FG461x_EEPROM_IAR' - IAR Embedded Workbench V3.41A MSP430FG461x code example of an EEPROM emulation
Folder 'MSP430_TUSB3410_2KXP_V103' - Windows VCP driver for MSP430-TUSB3410 reference design
Folder 'ORIG_TUSB3410_2KXP_V103' - Windows standard TUSB3410 driver
Folder 'PC_BSL' - Microsoft Visual C++ source code of BSL software. Based on SLAA096D.
Folder 'PC_DEMO' - Microsoft Visual C++ source code of PC demo software
Folder 'TUSB3410_EEPROM' - EEPROM image used for MSP430-TUSB3410 reference design
2010-11-20
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人