自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(36)
  • 收藏
  • 关注

原创 HTML&CSS学习总结

HTML的全称为超文本标记语言,是一种标记语言。它包括一系列标签.通过这些标签可以将网络上的文档格式统一,使分散的Internet资源连接为一个逻辑整体。HTML文本是由HTML命令组成的描述性文本,HTML命令可以说明文字,图形、动画、声音、表格、链接等。现如今,HTML已经发展到HTML5,HTML5是公认的下一代Web语言,极大地提升了Web在富媒体、富内容和富应用等方面的能力,被喻为终将改变移动互联网的重要推手。Internet Explorer 8及以前的版本不支持。HTML结构如下图所示:标题

2022-07-09 15:50:51 90 1

原创 JavaScript & TypeScript 学习总结

JavaScript(简称“JS”) 是一种具有函数优先的轻量级,解释型或即时编译型的编程语言。虽然它是作为开发Web页面的脚本语言而出名,但是它也被用到了很多非浏览器环境中,JavaScript 基于原型编程、多范式的动态脚本语言,并且支持面向对象、命令式、声明式、函数式编程范式JavaScript 语法是一套规则,它定义了 JavaScript 的语言结构。JavaScript数据类型JavScript有两种数据类型,一是值类型,其中包括字符串(String)、数字(Number)、布尔(Boole

2022-07-09 14:52:21 158

原创 基于卷积神经网络的猫狗识别

这里写目录标题任务要求猫狗识别开始训练过拟合和数据增强参考链接任务要求按照 https://github.com/fchollet/deep-learning-with-python-notebooks/blob/master/5.2-using-convnets-with-small-datasets.ipynb, 利用TensorFlow和Keras,自己搭建卷积神经网络完成狗猫数据集的分类实验;将关键步骤用汉语注释出来。解释什么是overfit(过拟合)?什么是数据增强?如果单独只做数据增强,精

2021-06-21 20:52:57 872

原创 VGA图像协议与输出

基于Verilog HDL的数字秒表仿真具体参考代码: module Clock(clk,reset,pause,msh,msl,sh,sl,minh,minl); //其中msh为百分秒的十位,msl为百分秒的个位,sh为秒的十位,sl为秒的个位,minh为分的十位,minl为分的个位 input clk,reset,pause;//时钟,复位,暂停 output [3:0] msh,msl,sh,sl,minh,minl;//输出 reg [3:0] msh,msl,sh,sl,minh,m

2021-06-01 21:01:56 220

原创 FPGA的NIOS-II入门

硬件部分设计1)建立新项目2)进行Qsys系统设计点击Tools下拉菜单下的Qsys工具启动Qsys后,点击File-save,在文件名中填写为kernel后点击OK双击clk_0元件,对Clock进行时钟设置,设为为50M在“component library”标签栏中找到“Nios II Processor”后点击Add,在Nios Core栏中选择Nios II/f选项,其他保持默认选项在”Caches and Memory Interfaces”标签栏中保持默认设置(Instr

2021-04-24 21:32:54 313

原创 FPGA设计入门

任务描述基于Quartus-II软件完成一个1位全加器的设计,分别采用:1)原理图输入 以及 2)Verilog编程 这两种设计方法。软件基于quartusII 13.0版本,开发板基于Intel DE2-115具体步骤1. 新建工程File->New Project Wzard,然后点击两次next,然后为新建的工程选择工程目录和名字,再点击next,选择如图所示的芯片:cyclonrVE系列的EP4CE11529C7芯片.然后一路点击next,就完成了新工程的创建。这里展示了一些项目的

2021-04-07 19:27:17 410

原创 机器学习数学基础之Python矩阵运算

Jupyter的七个基本实验矩阵的加减行列转换:具体代码import numpy as npa = np.mat([[1, 2, 3], [4, 5, 6]])a.shapea.Tb = np.array([[1, 2, 3], [4, 5, 6]])a + ba - b运行结果:矩阵乘法A = np.array([[1, 2, 3], [4, 5, 6]])B = A.T2 * Anp.dot(A, B)np.dot( B, A)C = np.array(

2021-04-05 20:41:29 132

原创 数字电路基础与Quartus入门

安装Quartus-II13和Modelsim具体安装过程和相关所需安装包可参考:Quarus-II安装Modelsim安装在 Quartus-II 中自己用门电路设计一个D触发器,并进行仿真,时序波形验证创建新工程;点击File,选中New Project Wizard:选择工程目录及名字,并选择相应设备型号:之后的全选择next即可创建原理图,首先创建方框文件,再然后添加元器件:nand2,二个输入的与非门,依次添加四个and2和一个非门not:将原件摆放后连线,最终结果如图:

2021-04-05 20:10:18 228

原创 线性/非线性规划问题求解

题目要求:用excel求解线性规划问题问题描述在excel中创建最初数据库:写出资源配置的要素:设置目标函数:设置约束条件:使用excel的数据里的规划求解:最终结果如下所示:用python求解线性规划问题可以将这个问题转化成一个数学问题:使用scipy库对线性规划进行求解# 导入包from scipy import optimizeimport numpy as np#创建矩阵,c为目标函数的矩阵,A_ub为约束

2021-04-01 21:16:46 227

原创 数据分析练习

这里写目录标题要求:针对“Anscombe四重奏”数据集进行线性回归分析要求:线性回归练习。“父亲高则儿子高,父亲矮则儿子矮”(即父亲与儿子身高相关,且为正相关)、“母高高一窝,父高高一个”(即母亲的身高比父亲的身高对子女的影响更大)的习俗传说是否成立?请在“父母子女身高”数据集(高尔顿数据集)基础上利用线性回归做出科学分析。1)选取父子身高数据为X-Y,用Excel计算线性回归方程和相关系数、方差、p值等,判断回归方程是否成立。现在如果有一个新家庭的数据,已知父亲身高75英寸,请测算儿子的身高为

2021-03-20 18:47:59 569

原创 ROS通信编程与仿真

这里写目录标题Rviz的安装gazebo仿真参考链接:[https://blog.csdn.net/QWERTYzxw/article/details/115019603](https://blog.csdn.net/QWERTYzxw/article/details/115019603)Rviz的安装前期准备:打开i虚拟机USB设置,将兼容性设置成2.0:Rviz的安装:用rosdep install rviz语句下载源文件再用rosmake rviz语句进行编译:安装完成后可用ro

2021-03-20 18:06:47 140

原创 机器人操作系统(ROS)入门

ROS什么是ROSROS系统是机器人操作系统。机器人操作系统是一个机器人软件平台,它能为异质计算机集群提供类似操作系统的功能。ROS提供一些标准操作系统服务,例如硬件抽象,底层设备控制,常用功能实现,进程间消息以及数据包管理。ROS是基于一种图状架构,从而不同节点的进程能接受,发布,聚合各种信息(例如传感,控制,状态,规划等等)。目前ROS主要支持Ubuntu操作系统。ROS产生发展和壮大的原因和意义本世纪开始,关于人工智能的研究进入了大发展阶段,包括全方位的具体的 AI ,例如斯坦福大学人

2021-03-05 17:46:33 301

原创 基于JSP的数据查询web系统

目录环境配置TOMCAT配置在eclipse中安装TOMCAT服务程序调试结果显示环境配置程序代码TOMCAT配置TOMCAT官网选择一个版本下载,建议不要选最新版本的,最新的可能有些许小问题。注意,其中有两种格式,其中zip是免安装的.exe是需要一步步安装的,我这里选择的是.eze版本的。运行下载好的程序,点击next,特别注意的是,这里一定要选择Service Sartup:其他一直点就行了。安装好的界面如图所示:在eclipse中安装TOMCAT服务点击Window,最下方找

2021-01-15 11:22:50 87

原创 stm32的PWM和DAC练习

目录PWM简介PWM练习stm32的DAC练习PWM简介PWM(Pulse Width Modulation)控制——脉冲宽度调制技术,通过对一系列脉冲的宽度进行调制,来等效地获得所需要波形(含形状和幅值).PWM控制技术在逆变电路中应用最广,应用的逆变电路绝大部分是PWM型,PWM控制技术正是有赖于在逆 变电路中的应用,才确定了它在电力电子技术中的重要地位。简而言之,PWM是一种对模拟信号电平进行数字编码的方法。通过高分辨率计数器的使用,方波的占空比被调制用来对一个具体模拟信号的电平进行编码。PW

2021-01-09 17:50:52 153

原创 Java获取天气预报和发送短信

@[TOC](目录)Java获取指定城市的天气预报任务概况任务名称: 验证码短信发送 任务概述: 通过Java程序,向用户输入的手机号码发送短信验证码。网络组成分析网址的组成: 协议://域名:端口号/虚拟路径?参数列表#锚点 协议:用于计算机与计算机之间交流的协议,用于传输速率,传输编码,出错的控制等等。 http:超文本传输协议,默认端口号:80https:安全超文本传输协议,默认端口号:443域名:ip地址的别名。ip地址是计算机在互联网中的唯一表示...

2021-01-04 15:59:32 264

原创 基于MDK创建纯汇编语言的STM32工程

目录新建工程环境配置新建工程点击菜单栏的Project,在弹出的窗口点击选项New uVision Project,创建新的工程,如下图所示:环境配置设置工程的目标环境,本文使用的是STM32F103ZET6,因此在弹出的窗口选择相应的选项,点击保存即可;具体如下图所示;ARM的CMSIS已经把开发所需要的软件组件都封装好了,因此直接选择即可;1.CMSIS下选择CORE;2.Device下Startup,其中包含了启动文件如下图所示:鼠标右键单击Source Group 1,选

2020-12-30 19:27:15 75

原创 浅谈STM32的3种BOOT模式的差异

@[目录)STM32的3种BOOT模式的介绍Main Flash memory是STM32内置的Flash,一般我们使用JTAG或者SWD模式下载程序时,就是下载到这个里面,重启后也直接从这启动程序。System memory从系统存储器启动,这种模式启动的程序功能是由厂家设置的。一般来说,这种启动方式用的比较少。系统存储器是芯片内部一块特定的区域,STM32在出厂时,由ST在这个区域内部预置了一段BootLoader, 也就是我们常说的ISP程序, 这是一块ROM,出厂后无法修改。一般来说,

2020-12-30 18:27:34 239

原创 基于spi接口的oled显示

目录在显示屏上显示自己的学号和姓名在显示屏上显示自己的学号和姓名1.打开淘宝链接提供的中文取模工具,在选项中进行一些设置如下:2.输入姓名和学号,点击生成字模:3.打开链接中的商家提供的工程,int main(void){ delay_init(); //延时函数初始化 NVIC_Configuration(); //设置NVIC中断分组2:2位抢占优先级,2位响应优先级 OLED_Init(); //初始化OLED

2020-12-27 22:57:52 160

原创 stm32的最小系统的pcb图

目录Altium Designer2018下载安装stm32最小系统的PCB图stm32最小系统的电路原理图PCB图输出为Gerber光绘文件Altium Designer2018下载安装在网上找到资源并按照步骤安装,安装好界面如下:安装详情请见:https://blog.csdn.net/weixin_42014622/article/details/83721000stm32最小系统的PCB图1.进入Altium Designer,按照如图所示步骤创建一个PCB工程,右键新建的工程,创建Sch

2020-12-26 17:07:01 2520

原创 Ardunio IDE的初步使用

目录Ardunio IDE下载及安装配置ArduinoArdunio IDE下载及安装在百度上找到资源并下载:配置Arduino打开Arduino ,点击工具选项:搜索ARM安装与stm32板子芯片相应的Cortex-M3:选择芯片:编写代码:

2020-12-22 21:48:40 135

原创 AHT20温度采集

目录软件I2C和硬件I2CAHT20温度采集参考资料软件I2C和硬件I2C硬件I2C对应芯片上的I2C外设,有相应I2C驱动电路,其所使用的I2C管脚也是专用的;软件I2C一般是用GPIO管脚,用软件控制管脚状态以模拟I2C通信波形。硬件I2C的效率要高于软件模拟的,模拟I2C 是通过GPIO,软件模拟寄存器的工作方式,而硬件I2C是直接调用内部寄存器进行配置。软件模拟i2c就意味着你要用软件模拟i2c的时序,并且要随时监控i2c总线上的各种状态并作出相应的回应,如果总线上的数据交换比较频繁,那cp

2020-12-22 20:22:29 115

原创 Socket编程实战

这里写目录标题目录原始套接字编程中的Teardrop代码编程目录原始套接字编程中的Teardrop代码编程在ubuntu下新建一个目录,并在目录下新建一个1.c文件,文件代码如下:#include <stdio.h>#include <stdlib.h>#include <unistd.h>#include <string.h>#include <netdb.h>#include <netinet/in.h>#i

2020-12-05 21:27:31 64

原创 用ngnix写一个简单的网页

目录安装ngnix配置服务器1.域名指向本机安装ngnix执行安装命令安装完成,检查nginx服务状态检查nginx版本查看Ubuntu图ip地址ifconfig打开Firefox,输入该ip就能进入nginx的访问界面。配置服务器1.域名指向本机执行命令:sudo nano /etc/hosts,然后添加域名...

2020-12-05 21:14:15 149

原创 STM32串口通信

目录基于寄存器与基于固件库的stm32 LED流水灯的区别使用固件库,目前比较多的例程是使用固件库编写的。官方的例子也都采用固件库方式。特点就是简单,易于理解,资料多。如果你没有CortexM系列内核的开发基础,建议从固件库开始玩起。等有一定基础,或是特别需要时再用寄存器。使用寄存器,想要深入理解CortexM3内核或是需要为了获得更好的可移植性,学习寄存器编程会比较有帮助。但是从专业的角度上看,寄存器更贴近底层,对外设的工作原理和运行机理会有更深的理解。STM32的USART窗口通讯新建一个工

2020-12-05 19:01:27 94

原创 MDK移植freeRTOS及多任务创建

MDK移植freeRTOS及多任务创建准备freeROTS移植及多任务的创建准备去freeRTOS官网下载源码,下载后解压。在电脑中新建一个freeRTOS文件夹,将之前解压文件夹中的 FreeROTS\Source\ 目录下的所有文件复制到新建文件夹中将portable/RVDS中的CM3复制到keil文件夹中将解压的源码文件夹中的FreeRTOS\Demo\CORTEX_stm32f103_keil中的FreeRTOSConfig.h复制到自己新建的文件夹中freeROT

2020-12-04 19:52:49 167

原创 TCP编程——端口扫描器和网游客户端

目录简单的端口扫描程序**单线程方式****多线程方式****总结:**简单的网游客户端三、客户端 UI 设计核心代码实现:简单的端口扫描程序单线程方式窗口设计代码:using System;using System.Collections.Generic;using System.ComponentModel;using System.Data;using System.Drawing;using System.Linq;using System.Text;using System

2020-11-19 20:59:04 107

原创 Ubuntu或树莓派的远程操作练习

一·、环境配置ubuntu环境设置1.设置ubuntu中的网络适配选项为桥接模式,在室友电脑上的·ubuntu内用ifconfig指令查看虚拟机的ip地址:用自己电脑ping一下192.168.43.51发现可以ping通,就没有问题了。在室友电脑上用sudo adduser classmate1语句设置一个新的用户,将密码设为123456,重启虚拟机就会发现有两个用户:ubuntu的设置到此就结束了。树莓派环境设置先进行一些准备工作,详情可参考博客:用手机查看树莓派的ip地址为:192.1

2020-11-10 22:25:06 118

原创 可视化代码调试和Proteus下的stm32程序仿真

目录vscode下的代码调试Proteus仿真运行stm32程序参考资料vscode下的代码调试1.环境配置a.在vscode中安装C++的插件在虚拟机上的应用商店里搜索Visual Studio Code,点击安装就行。在vscode中安装C++的插件:打开vscode,按ctrl+p调出命令行,在上面输入ext install cpptools,安装这个插件:b.配置launch.json和task.json这两个文件随便带开一个项目文件夹,按f5开始调试,会报错且生成一个.

2020-11-09 20:37:25 358

原创 c#编程和网络编程入门

目录一、用C#创建一个简单的控制台程序二、用C#编写一个简单的Form窗体程序三、用wireshark抓包软件抓取网络包四、参考资料一、用C#创建一个简单的控制台程序1.编程环境:vs20192.步骤打开vs2019,点击创建新项目,啊然后在跳出的选择中点击控制台程序如图:然后点击下一步,在窗口中输入项目名称和存取路径就可以点击下一步了:然后我们会看到这样一个界面:然后我们就可以编写代码了。using System;namespace demo{ class Progr

2020-10-31 13:09:38 499

原创 gdb调试入门和Ubantu下opencv的简单使用

目录gdb的简单调试Ubantu下Opencv3.x 图像开源处理库的安装和初步使用使用opencv库编写打开摄像头压缩视频的程序参考资料一、gdb的简单调试首先在虚拟机中用文档编辑器创建一个.c文件,输入以下代码如图:...

2020-10-30 17:32:56 114

原创 编译器背后的故事

目录用 gcc 生成 .a 静态库和 .so 动态库List item用 gcc 生成 .a 静态库和 .so 动态库先创建一个目录,保存本次的文件。然后用gedit文本编辑器生成下面三个文件。用gcc -c指令吧hello.c文件编译成.o文件,可以用ls 指令看看是否生成了hello.o文件由.o文件创建静态库用ar指令创建静态库,同样我们用ls指令查看结果。第四步,在程序中使用静态库可以使用以下方法在程序中使用静态库。我们可以删除静态库文件试试公用函数he

2020-10-16 18:38:08 144

原创 用VC6.0的的命令行工具cl.exe,linker.exe编译程序

目录一、环境变量设置二、用cl.exe,linker.exe编译MFC程序三、用cl.exe,linker.exe编译API程序四、总结五、参考资料一、环境变量配置1.在桌面上右键单击此电脑,点击属性进入控制面板。在控制面板中单击高级系统设置。2.点击环境变量。3.在系统变量中,点击path进行编辑。4.在电脑中找到cl.exe的路径,复制下来。再将复制好的地址粘贴到path中去。再点击确定就可以了。5.用cmd命令中输入cl,出现下面界面则说明配置成功了。二、用cl.exe和

2020-10-14 21:40:22 453

原创 创建一个简单的MFC程序

目录一、开发环境二、实验目的三、实验步骤四、实验总结五、参考资料一、开发环境windows环境下的visual studio 2019二、实验目的完成一个简单的MFC程序,分析了解代码中对象类的关系,以及程序的运行流程。三、实验步骤...

2020-10-14 18:41:27 1983

原创 ARM环境开发入门

ARM开发环境入门1.第一次的LED闪烁程序用keil建立一个STM32工程,使得LED灯闪烁,由于没有板子,故只有程序只能在电脑上做仿真。首先,在电脑上新建一个文件夹,然后打开keil建立一个新工程。点击确认后在弹出的窗口中选择STM32F103RB芯片。接下来创建一个main.c文件,并添加到工程中,点击保存。然后点击编译,然后复制代码#define PERIPH_BASE ((unsigned int)0x40000000)#define APB2PERIP

2020-10-08 15:34:36 185

原创 第一二周作业__嵌入式系统程序设计系统基础

第一二周作业__嵌入式系统程序设计系统基础实验目的1.编写一个主程序文件 main1.c 和一个子程序文件 sub1.c, 要求:子程序sub1.c 包含一个算术运算函数 float x2x(int a,int b),此函数功能为对两个输入整型参数做某个运算,将结果做浮点数返回;主程序main1.c,定义并赋值两整型变量,然后调用函数 x2x,将x2x的返回结果printf出来。1) 请在ubuntu系统用gcc 命令行方式编译主程序main1.c 并运行; 2) 请在windows系统下用你熟悉的编译

2020-09-25 22:23:37 167

原创 Windows API程序设计入门

Windows API程序设计入门实验目的了解 windows操作系统应用程序开发的基本概念,win32 API函数、消息与事件驱动;掌握WinMain函数的基本框架,窗口定义、窗口创建、消息循环及窗口过程函数;创建一个win32程序的步骤(1)注册窗口类(RegisterClassEX);(2)创建窗口(CreateWindowsEX);(3)在桌面上显示窗口(ShowWindow);(4)更新窗口客户区(UpdateWindow);(5)进入消息获取以及处理循环,直到获取的

2020-09-25 19:54:22 248

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除