自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

To the beyond and infinity!

去留无意 漫随天外云卷云舒

  • 博客(218)
  • 资源 (3)
  • 问答 (1)
  • 收藏
  • 关注

原创 树的直径概念及求解

树上任意两节点之间最长的简单路径即为树的「直径」。显然,一棵树可以有多条直径,他们的长度相等。可以用两次 DFS/BFS 或者树形 DP 的方法在 O(n) 时间求出树的直径。

2023-03-13 19:26:52 915

原创 格雷码应用意义及编解码

在一组数的编码中,若任意两个相邻的代码只有一位二进制数不同,则称这种编码为格雷码(Gray Code),另外由于最大数与最小数之间也仅一位数不同,即“首尾相连”,因此又称循环码或反射码。

2023-02-23 12:32:39 2665

原创 《Static Timing Analysis for Nanometer Designs》汇总传送门

《Static Timing Analysis for Nanometer Designs》书目录及相应链接汇总

2023-01-13 16:14:48 1227

原创 附录C:Standard Parasitic Extraction Format(SPEF)

本附录将介绍标准寄生参数提取格式(SPEF),它是IEEE Std 1481标准的一部分。

2023-01-13 15:20:43 1497

原创 附录B:Standard Delay Format(SDF)(下)

本附录将介绍标准延迟标注格式,并说明了如何在仿真中执行反标。延迟格式描述了设计网表的单元延迟和互连走线延迟,无论设计是用两种主要硬件描述语言(VHDL或Verilog HDL)中的哪一种所描述的。本章还会介绍仿真的反标(backannotation),STA的反标其实是一个简单直接的过程,其中DUA中的时序弧将由SDF所指定的延迟进行标注。

2023-01-12 18:53:21 1187

原创 附录B:Standard Delay Format(SDF)(上)

本附录将介绍标准延迟标注格式,并说明了如何在仿真中执行反标。延迟格式描述了设计网表的单元延迟和互连走线延迟,无论设计是用两种主要硬件描述语言(VHDL或Verilog HDL)中的哪一种所描述的。本章还会介绍仿真的反标(backannotation),STA的反标其实是一个简单直接的过程,其中DUA中的时序弧将由SDF所指定的延迟进行标注。

2023-01-12 15:36:11 1478

原创 附录A:Synopsys Design Constraints(SDC)

本附录将介绍1.7版本的SDC(Synopsys Design Constraints)格式,此格式主要用于指定设计的时序约束。它不包含任何特定工具的命令,例如链接(link)和编译(compile)。它是一个文本文件,可以手写或由程序创建,并由程序读取。某些SDC命令仅适用于实现(implementation)或综合(synthesis),但是本附录会列出所有SDC命令。

2023-01-10 19:19:24 959

原创 第十章 鲁棒性检查(下)

本章节将介绍特殊的STA分析,例如时间借用(time borrowing)、时钟门控(clock gating)和非时序(non-sequential)检查。此外,还介绍了高级STA概念,例如片上变化(on-chip variation)、统计时序(statistical timing)以及功耗和时序之间的折中。

2023-01-09 19:59:51 702

原创 第十章 鲁棒性检查(中)

本章节将介绍特殊的STA分析,例如时间借用(time borrowing),时钟门控(clock gating)和非时序(non-sequential)检查。此外,还介绍了高级STA概念,例如片上变化(on-chip variation),统计时序(statistical timing)以及功耗和时序之间的折中。

2022-12-09 12:13:53 1078

原创 Git版本控制工具使用

对Git的渊源和相关的使用命令进行介绍和整理记录。

2022-11-25 14:34:45 764

原创 第十章 鲁棒性检查(上)

本章节将介绍特殊的STA分析,例如时间借用(time borrowing),时钟门控(clock gating)和非时序(non-sequential)检查。此外,还介绍了高级STA概念,例如片上变化(on-chip variation),统计时序(statistical timing)以及功耗和时序之间的折中。

2022-10-24 16:27:22 762

原创 第九章 接口分析(下)

本章节将介绍各种类型输入和输出路径的时序分析过程以及几种常用的接口,还介绍了特殊接口(例如SRAM)的时序分析和源同步接口(例如DDR SDRAM)的时序分析。

2022-10-12 19:30:00 650 1

原创 第九章 接口分析(上)

本章节将介绍各种类型输入和输出路径的时序分析过程以及几种常用的接口,还介绍了特殊接口(例如SRAM)的时序分析和源同步接口(例如DDR SDRAM)的时序分析。

2022-10-11 20:00:00 896

原创 第八章 时序检查(下)

本章节将介绍静态时序分析所执行的一部分检查,这些检查旨在详尽地验证待分析设计的时序。

2022-09-29 17:49:27 757

原创 第八章 时序检查(中)

本章节将介绍静态时序分析所执行的一部分检查,这些检查旨在详尽地验证待分析设计的时序。

2022-09-29 14:59:27 1478

原创 第八章 时序检查(上)

本章节将介绍静态时序分析所执行的一部分检查,这些检查旨在详尽地验证待分析设计的时序。

2022-09-23 17:21:14 754

原创 ECO概念及理解

ECO要干的事情:在后期修正你的bug,弥补你的罪。

2022-09-20 16:39:08 1803

原创 第七章 配置STA环境(下)

本章节介绍了如何为静态时序分析配置环境。正确的约束对于分析STA结果很重要,只有准确指定设计环境,STA分析才能够识别出设计中的所有时序问题。STA的准备工作包括设置时钟、指定IO时序特性以及指定伪路径和多周期路径。在继续学习下一章的时序验证之前,请务必全面了解本章节。

2022-09-16 16:29:01 832 1

原创 第七章 配置STA环境(上)

本章节介绍了如何为静态时序分析配置环境。正确的约束对于分析STA结果很重要,只有准确指定设计环境,STA分析才能够识别出设计中的所有时序问题。STA的准备工作包括设置时钟、指定IO时序特性以及指定伪路径和多周期路径。在继续学习下一章的时序验证之前,请务必全面了解本章节。

2022-09-09 17:01:34 717

转载 代码注释规范之Doxygen

Doxygen是一个程序的文档产生工具,可以将程序中的注释转换成说明文档或者说是API参考手册,从而减少程序员整理文档的时间。当然这里程序中的注释需要遵循一定的规则书写,才能让Doxygen识别和转化。目前Doxygen可处理的程序语言包含C/C++、Java、Objective-C、IDL等,可产生出来的文档格式有HTML、XML、LaTeX、RTF等,此外还可衍生出不少其它格式,如HTML可以打包成CHM格式,而LaTeX可以通过一些工具产生出PS或是PDF文档等。

2022-09-07 10:12:48 1997 1

原创 第六章 串扰和噪声

本章描述了纳米工艺中ASIC(Application Specific Integrated Chip)的信号完整性(SI, Signal Integrity)问题。在深亚微米(submicron)技术中,串扰(crosstalk)在设计的信号完整性中起着重要作用,串扰噪声是指两个或多个信号之间无意间的耦合。相关的噪声和串扰分析技术,即毛刺(glitch)分析和串扰分析,可用于静态时序分析中,这将在本章中进行介绍。这些技术可用于使ASIC稳定运行。

2022-08-24 16:34:07 2035

原创 第五章 延迟计算

本章对基于单元的设计的预布局和布局后时序验证的延迟计算提供了概括。上章聚焦于互连线和单元库的建模。单元和互连线建模技术用于获取设计的时序。

2022-08-18 19:24:44 1501

原创 第四章 互联寄生

本章对处理和表示设计时序验证中的互联寄生的多种方法作概括。

2022-08-11 19:23:28 1689

原创 第三章 标准单元库(下)

本章介绍库(library)里单元描述中所提供的时序信息。单元可以是标准单元、IO缓冲器或者是如USB内核这样的复杂IP。

2022-07-26 16:15:04 2809

原创 第三章 标准单元库(上)

本章介绍库(library)里单元描述中所提供的时序信息。单元可以是标准单元、IO缓冲器或者是如USB内核这样的复杂IP。

2022-07-19 19:07:07 2791 1

原创 第二章 STA相关概念

本章节介绍CMOS技术的基础知识以及执行静态时序分析所涉及的术语。

2022-07-14 17:34:13 1443

原创 第一章 绪论

《Static Timing Analysis For Nanometer Designs》一书主要讲解的是如何运用静态时序分析解决纳米设计中的时序验证问题。

2022-07-11 16:10:26 631

原创 LeetCode_22_Apr_4th_Week

二二年四月第四周力扣每日一题,之前的由于一些事情给耽搁了,抱歉抱歉

2022-04-26 11:02:55 167 1

原创 LeetCode_22_Apr_2nd_Week

二二年四月第二周力扣每日一题

2022-04-12 11:35:21 310

原创 LeetCode_Dec_3rd_Week

十二月第三周力扣每日一题

2021-12-20 12:44:38 381 1

原创 LeetCode_Dec_2nd_Week

十二月第二周力扣每日一题

2021-12-13 12:58:20 966

原创 LeetCode_Dec_1st_Week

十二月第一周力扣每日一题

2021-12-07 23:32:02 617 1

原创 LeetCode_Nov_5th_Week

十一月第五周力扣每日一题

2021-11-29 17:18:34 217

原创 LeetCode_Nov_4th_Week

十一月第四周力扣每日一题

2021-11-22 16:03:54 418

原创 LeetCode_Nov_3rd_Week

十一月第三周力扣每日一题

2021-11-15 00:47:59 3976

原创 LeetCode_Nov_2nd_Week

十一月第二周力扣每日一题

2021-11-08 13:09:02 2399 1

原创 FAREWARE ADDRESS

Edward VIIIFAREWARE ADDRESSDecember 11, 1936At long last I am able to say a few words of my own. I have never wanted to withhold anything, but until now it has not been constitutionally possible for me to speak.A few hours ago I discharged my last duty

2021-11-07 21:30:15 213

原创 LeetCode_Nov_1st_Week

十一月第一周力扣每日一题

2021-11-01 17:18:03 133

原创 IEEE802.X协议族

         802.X协议是由(美)电气与电子工程师协会提出,刚刚完成标准化的一个符合IEEE802 协议集的局域网 接入控制协议,全称为基于端口的 访问控制协议。能够在利用IEEE 802局域网优势的基础上提供一种对连接到局域网的用户进行认证和授权的手段,达到了接受合法用户接入,保护 ...

2021-10-30 20:25:47 1449

原创 理想的生活

一份正常的工作,一户平凡的家庭,一台普通的座驾,满脑子四海的风景相伴的人儿。尽可能地从心所欲,尽可能地扬帆远航。承平时代,先独善其身,再兼济天下。

2021-10-25 21:11:03 113

STL学习整理资料包

压缩包内包含源码剖析和函数文档,对学习标准模板库比较全面且很有帮助

2021-02-25

Dev-Cpp.zip(常用的cppIDE)

经典c++的IDE,可以查看到stl的源码

2021-02-25

c++文档(包含c11汉化版本和英文版本)

压缩包中包含c11汉化版本和英文版本

2021-02-25

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除