自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(43)
  • 资源 (8)
  • 收藏
  • 关注

原创 DC综合入门【待完善】

1、.db格式:二进制工艺库文件;. lib格式:可读工艺库文件(包含 operating _ conditions ).

2023-06-18 15:41:25 521

原创 Virtuoso617 版图入门

virtuoso版图入门,快捷键使用和部分操作实现

2022-11-12 20:22:32 2503 1

原创 HDLbits刷题总结

HDLbits一刷还愿,记录一些有价值的内容。

2022-09-18 22:52:00 1082

原创 锁相环相位噪声模型及其计算

一、相位噪声传递模型二、相位噪声计算定义开环传递函数为:G(s)=KPD⋅ZLF(s)⋅KvcosG(s)=K_{PD} \cdot Z_{LF}(s) \cdot \frac{K_{vco}}{s}G(s)=KPD​⋅ZLF​(s)⋅sKvco​​模块传递函数通带模型晶振θoutθREF(s)=G(s)1+1NG(s)\frac{\theta_{out}}{\theta_{REF}}(s)=\frac{G(s)}{1+\frac{1}{N}G(s)}θREF​θout​

2022-05-08 20:14:23 5354

原创 电荷泵锁相环(CPPLL)基本结构及相关基本知识

一、电荷泵锁相环基本结构相比于简单锁相环结构,电荷泵锁相环在鉴相器与环路滤波器之间增加了电荷泵结构;通过驱动环路滤波器中的电容,形成积分器,可以将环路增益提高到无穷大。只要ϕref\phi_{ref}ϕref​与ϕvco\phi_{vco}ϕvco​之间的相位差不为零,就会有电荷持续在电容上积累,所以输入相位差必须精确地等于零。CPPLL的动态特性参见《模拟CMOS集成电路设计》15.2节;我们可以看到该结构锁相环可以对相位差做出UP/DN动作,控制电荷泵充放电,调节VtV_tVt​,以达

2022-04-10 17:21:34 5343

原创 锁相环(PLL)基本结构及相关基本知识

一、锁相环基本结构

2022-03-27 21:27:42 7345

原创 二极管/三极管基本原理

1、二极管在一块本征半导体中的两边掺以不同的杂质,使其分别形成P型半导体和N型半导体,两区交界处形成PN结(耗尽层,又称势垒区)。1、图中多数载流子(多子)使用小圆圈表示,少数载流子(少子)使用大圆圈表示,即左侧P区多子为空穴——带正电,右侧N区多子为电子——带负电。2、由于PN结多子的扩散运动,P区与N区原本的电中性被被破坏,两区交界处形成耗尽层,耗尽层中的内建电场使少子产生漂移运动,同时阻止多子扩散,最终达到动态平衡,PN结中无电流通过。3、内建电场产生的势垒电压UφU_{\varphi

2021-11-29 22:03:21 2311

原创 简单谐振回路

一、简单谐振回路分析阻抗分析类型并联谐振回路并联谐振回路示意图阻抗与导纳对应的复数相位角度范围:(−π2,π2)_{对应的复数相位角度范围:(-\frac{\pi}{2}, \frac{\pi}{2}) }对应的复数相位角度范围:(−2π​,2π​)​Y(ω)=G+1jωL+jωCY(\omega)=G+\frac{1}{j\omega L}+j\omega CY(ω)=G+jωL1​+jωCZ(ω)=r+jωL+1jωCZ(\omega)=r+j\omega L

2021-08-23 21:46:46 790

原创 CORDIC(6.0) IP核 与 DDS Compiler(6.0) IP核 使用示例

一、CORDIC IP 计算相位值(Arc Tan)参考:1、Cordic v6.0 IP CORE使用说明2、使用Xilinx CORDIC IP核 生成正余弦波输入:复数据实部虚部,输入格式:IMAG(31:16),REAL(15:0);范围:−1≤x or y≤1-1 \leq x \ or \ y \leq 1−1≤x or y≤1输出:相位,输出格式:PHASE(15:0);范围:−π≤x≤π-\pi\leq x\leq \pi−π≤x≤π

2021-06-02 18:56:34 4469 1

原创 使用VsCode进行简单的Git

一、前置工具VsCode:简体中文菜单Git参考:1、Git教程(完整):这一教程用于熟悉Git基本操作,使用Git bash命令窗口进行演示,感兴趣可以仔细阅读,本文只截取用到的部分且不细讲。Git bash存在的问题解决:warning: LF will be replaced by CRLF in 解决办法2、Git使用教程:最详细、最傻瓜、最浅显、真正手把手教! :与参考1类似,可以交替看。3、VsCode插件:Git Graph(可以查看版本历史以及最新的版本指针指向)

2020-11-12 21:56:29 212

原创 F-OFDM 系统简单Matlab搭建

1、源论文陈勇. 面向5G的F-OFDM关键技术研究[D].电子科技大学,2020.本文相关参数均使用了论文中的参数,使用AWGN信道,但为了简单起见调制方式使用BPSK。2、代码文件(Matlab R2019b)主文件clc;clear all;%% 滤波器设计M_1_object = M_1;rrcFilterNum_M_1 = M_1_object.Numerator;% wvtool(rrcFilterNum_M_1);% fvtool(rrcFilterNum_M

2020-07-25 17:57:20 2615 3

原创 Verilog/数电 知识点随记(4)

1、转载编号转载内容1详解ASIC设计流程2时钟抖动(Clock Jitter)和时钟偏斜(Clock Skew)3一位全加器的与非门实现4clock gating check细节方面:1、PLL与MMCM区别2、FPGA内部资源总结3、信号与系统公式和常用的连续傅里叶变换4、2、常用逻辑表达式变换A+A⋅B=A,A⋅(A+B)=AA+

2020-07-25 16:51:52 308

原创 Verilog/数电 知识点随记(3)

一、2x1 MUX实现逻辑门(传输门可化简)各种逻辑门均可以使用三目运算符实现,三目运算符F = X?B:A 对应于2x1 MUX可见下图。1、与门:F=A?B:0;或门:F=A?1:B;非门:F=A?0:1;传输门:F=A:1:0;2、异或:F=A?(B?0:1):(B?1:0);同或:F=A?(B?1:0):(B?0:1);(传输门化简后仅使用2个2选1MUX),其中inv可替换非门。参考:加油站| 逻辑电路中的24种表达式(大疆通用硬件岗解析)二、边沿检测如上图所示dat为输入

2020-06-25 18:04:17 1138

原创 Verilog/数电 知识点随记(2)

1、触发器(以与非门为例,或非门可自行推导)基本RS触发器 钟控RS触发器其中红色框图为基本RS触发器结构,特征方程为Qn+1=SD‾+RDQQ^{n+1}=\overline{S_D}+R_DQQn+1=SD​​+RD​Q, 限制条件为RD+SD=1R_D+S_D=1RD​+SD​=1。钟控RS触发器1、各种钟控触发器相对与基本RS触发器,其状态转换由时钟控制,输入变化不一定会导致输出立即变化,而是按照一定的节拍进行动作;2、CP=0: 触发器保持;CP=1: 特征方程为Qn+

2020-06-23 19:59:04 1468

原创 notepad++ 中 Alt+z与Alt+c的使用(在verilog中对多个顺序变量进行赋值)

1、先打出需要复制的表达式,并复制(要复制到的行左边应该有行号标志)2、光标停留在要复制到的行的第一行起始位置,按住Alt+zAlt+zAlt+z,鼠标在上述光标停留位置按住左键向下拖动直到覆盖所有要复制的行,松开按键和鼠标,时候出现一个贯穿要复制到的所有行的大光标,此时粘贴内容;3、以上述方法将大光标插入要编号的位置,按Alt+cAlt+cAlt+c进行编号即可;4、此法也可将另外某几列数据使用大光标复制,并粘贴在大光标的位置。...

2020-06-14 20:57:28 607

原创 Verilog/数电 知识点随记(1)

一、verilog语法1、计数器(课本上的版本)本来一直使用case版本,最近翻书看到的下面版本。module counter(input clk,input rst,output reg [2:0]Q);parameter M = 5;//循环计数长度为5parameter MM = M - 1;wire Id;assign Id = Q >= MM;always @(posedge clk)beginif(!rst) Q <= 1'b0;else Q &l

2020-06-14 20:29:02 736

原创 Vivado FIR compiler 7.2 滤波器 仿真中遇到的问题及解决

一、Matlab FIR 滤波器定点系数导出及 Vivado FIR 滤波器 IP核 生成参见基于vivado的fir ip核的重采样设计与实现[1],这里不再赘述。本文默认读者对Vivado按钮分布比较了解,不再讲解按钮位置。二、部分问题及解决方法(本文例程是对数据上采样滤波)1、文件准备IP核生成【位于:Sources->Hierarchy->Design Sour...

2020-01-06 17:33:47 7804 2

原创 使用VIVADO编写简单的Verilog程序和Testbench

一、新建工程参见 玩转Zynq连载17——新建Vivado工程,这里不再另行说明。更改编辑器 Tools→settings→TextEditor→CurrentEditorTools\rightarrow settings\rightarrow Text Editor \rightarrow Current EditorTools→settings→TextEditor→CurrentEdi...

2019-12-30 20:38:56 12936 3

原创 CRC校验 与 模2运算

一、模2运算(不需要借位/进位)1、模2加减法运算式运算结果1+101+010+110+001-101-010-110-002、模2乘法示例说明1101×101110100001101111001\begin{matrix}&&1&1&0&1\\\times&...

2019-12-11 20:15:02 1317

原创 Matlab FIR 滤波器生成(使用APP)

1、打开APP菜单2、在信号处理与通信栏目中找到Filter Designer3、参数设置红色框设置滤波器类型,(这里设置为发送与接收滤波中常用的升余弦FIR滤波器) ;橙色框设置滤波器阶数,此处设置为64阶,产生65个系数;蓝色框设置窗函数类型,这里选择哈明窗;绿色框设置带宽与单边带截止频率,以及滚降系数;黑色框设置为平方根。参数设置完成后点击Design Fi...

2019-12-04 17:08:48 4798

原创 OFDM符号产生 与 LS信道估计

1、OFDM符号产生由于OFDM符号是频域信息,发送端需要进行IFFT变换造成能量变化,所以需要进行对应的能量放缩来使得发射信号能量与变换前一致。Matlab示例% sequence1为频域数据,PN5120为长度为5120的有效数据,总长度为8192 sequence1 = [zeros(1,1536), PN5120.', zeros(1,1536)];% 频域搬移,使得有效...

2019-12-04 14:37:47 3028 1

原创 2 x 2 STBC 空时分组码 解码 与 均衡

本文来源于同门总结主要参考文献:MIMO系统中空时译码与频域均衡研究与实现主要流程介绍(一组数据块示例【即两个OFDM数据块】)1、四个SISO分析Y11=[X1H11,−X2∗H11]+Z11Y_{11}=[X_1H_{11},-X^*_2H_{11}]+Z_{11}Y11​=[X1​H11​,−X2∗​H11​]+Z11​Y12=[X1H12,−X2∗H12]+Z12Y_{12}...

2019-11-28 23:40:58 3463 1

原创 Matlab 定点仿真 ----- 限幅 | 相关运算

1、本限幅方法将得到的整数定点转回便于与原始数据的小数定点%%限幅%% rxFilterOut_real = real(rxFilterOut); rxFilterOut_imag = imag(rxFilterOut); rxFilterOut_real(rxFilterOut_real.*2^(AI.l-4)>=2^(AI.l-1))=(...

2019-11-28 16:49:45 3308

原创 Vivado IP核生成后的实例化模板文件位置

之前刚入门的时候一直以为没有例程,之后看了一篇IP核生成的文章,过程中发现是有实例化模板文件的,摘录一下分享。即在图中所示的位置:veo后缀为Verilog实例模板...

2019-11-23 19:59:09 5792 1

原创 SystemGenerator 关联默认不支持的 Matlab 版本

MathWork官方回复的解决方法:How to configure Xilinx Vivado 2017.2 System Generator for Matlab2017b?简单来说就是在Vivado安装路径:1、找到ml_supported.xml文件;例如:D:\Xilinx\Vivado\2019.1\data\sysgen\sg_config\ml_supported.x...

2019-11-23 19:30:49 1587

原创 Flowchat 流程图在Markdown中的使用(不同编辑器有细微区别)

一、Flowchat流程图语法模块:start(原边长方形,表示开始)、end(圆边长方形,表示结束)、operation(长方形)、condition(菱形,选择条件)、subroutine、inputoutput(平行四边形,表示输入输出)【本文只介绍这几种】。定义使用模块的语法 :【名称】+【=>】(英文符号) + 【:】+ 空格 +【自定义框内文字】;连线语法:模块之间使用【...

2019-11-22 20:14:14 1344

原创 使用 python matplotlib 做简单的曲线图

1、软件版本anaconda python3.7.3pycharm 2019.2.3 学生教育版2、python环境python+pycharm配置安装(其中python环境可以选择conda环境,也可以下载官方python包)python路径查看(anaconda)python环境激活(anaconda)3、代码示例说明#导入需要使用的库import matplotli...

2019-11-19 11:23:11 512

原创 微信小程序简单入门

1、前情概述CSDN的“水文”搬运了一波微信公众号,突然就想简单搞一个小程序玩一下,本文只是基本的input,button等制作小程序中使用的简单组件,其它的内容不作介绍(软件安装参考)。部分参考内容(包含示例程序):1、input | 微信开放文档2、button | 微信开放文档2、程序示例index.wxml(其中前五个为输入框,接着有一个显示框,以及一个按钮)(前五个...

2019-11-16 17:03:06 127

原创 使用 R语言 进行简单制图(plot,hist)

1、plot(代码注释说明)x<-seq(-3,11,len=8)y_float<-c(0.0118740242521950,0.0091057538361494,0.0071377665672741,0.0055924181000629,0.0044031276652462, 0.0035296146085217, 0.0028331581203653,0.00228622848...

2019-11-08 22:50:39 3600 1

原创 16QAM 硬判决(Matlab)

使用for循环根据距离判决(AWGN),多径需要对函数输入作归一化处理function bitout = 16QAM_Demap(DataEquOut)bitout = zeros(numel(DataEquOut),4);Constellation_ =[3+3j 3+1j 1+3j 1+1j 3-3j 3-1j 1-3j 1-1j -3+3j -3+1j -1+3j -1+1j -3...

2019-10-29 20:01:57 5332 2

原创 SC-FDE 系统 基于导频的细小偏估计

细小偏估计细小偏估计位于定时估计之后,通过导频分离并经过FFT之后再进行细小偏估计。(本文仿真中默认不存在采样钟偏移)1、部分帧结构2、频偏估计方法Δf=N2π×m×(N+L)⋅angle(Yp,k∗×Yp+1,k)\Delta f=\frac{N}{2\pi \times m\times (N+L)}\centerdot angle(Y_{p,k}^{*}\times {{Y}_{p...

2019-10-26 16:01:06 1220

原创 使用Matlab产生m序列(PN序列的一种)

通过一个例子说明h1 = commsrc.pn('GenPoly', [1 1 0 1 1 0 0 0 0 0 0 0 0 1],...%[13 12 10 9 0] ;生成多项式系数,降序排列,可以二进制或者十进制书写 'InitialStates',[0 0 0 0 0 0 0 0 0 0 0 0 1],... %初始寄存器状态,非全0,较上个次数...

2019-10-22 22:39:58 8223 1

原创 OFDM 经典整偏估计方法(基于长训练序列)

1、OFDM符号的产生为了避免OFDM符号高频段有用子载波受到滤波等因素的干扰,需要将信号有用子载波在频域进行搬移,使得有用信号处于低频部分,搬移MatlabMatlabMatlab代码表示如下:Information = randi([0,1],1,5120);OFDM_block = [zero(1,1536),Information,zero(1,1536)];OFDM_block_...

2019-10-21 16:57:33 1216 4

原创 Matlab 的常用绘图命令(包括简单的双y轴)

本文主要列出博主在作通信仿真时,用到的一些绘图命令,通过程序示例说明一、单坐标轴代码示例figure(1);subplot(2,1,1);1、figure(1)指定下列图片为第一幅图,与figure(2)为独立窗口;2、subplot(2,1,1) 指定下列图片为第一子图,图片为2×12\times12×1排列,当前图片作为第一幅图,按照先行后列与其它子图依次排列。sn...

2019-10-08 20:31:41 1300

原创 Matlab 程序添加运行进度条(waitbar及tic/toc)

示例说明wait_title = waitbar(0,'运行进度'); %运行进度条初始化对象for i = 1 : 100 for j = 1 : 100 %%%% %代码块 %%%% str = ['运行中...',num2str(((i-1)*100+j)...

2019-09-28 14:36:35 3069

原创 列出 MATLAB 程序文件的依赖项

使用函数matlab.codetools.requiredFilesAndProducts函数可以返回,程序依赖的文件和产品。官方文档.示例[flist,plist] = matlab.codetools.requiredFilesAndProducts('test.m') %可以输入多个文件%char、string类型支持,cell对应元素为char,string 均可作为输入%...

2019-09-27 17:38:57 614

原创 STBC空时分组码编码 (2Tx Alamouti编码)

2×22\times22×2 MIMO 结合Alamouti-STBC编码方式说明1、发射信号编码矩阵(其中xix_ixi​表示第iii个发送信息块,第iii行是第iii个天线的发送信号)X=[x1−x2∗x2x1∗]X =\begin{bmatrix}x_1 & -x_2^* \\x_2 & x_1^*\end{bmatrix}X=[x1​x2​​−x2∗​x1...

2019-09-26 23:27:05 12755

原创 Matlab 简单的并行化运算

本文只是对于多核CPU运行单一程序的优化,以及简单的GPU运算(非CUDA)部分内容引自:http://blog.sina.com.cn/s/blog_6b597bfb01018wa5.html并行化计算通过client-workers体系,client负责分发任务,workers(数量等于CPU核数)负责完成任务1、使用parfor循环parfor循环把变量分为五类:循环变量*、广...

2019-09-26 12:02:55 2054

原创 QPSK 16QAM 以及2x1 MISO 2x2 MIMO在QPSK下的仿真(AWGN)

AWGN信道条件

2019-09-23 17:33:35 4041

原创 基于训练符号的频偏估计方法 (SC-FDE/OFDM)

1、设置归一化频偏时域(使用l两段完全一样的chu序列,这里设置每一段长度为1024),基带传输x(k)=x(k+N),k=1,2,...,1024x(k )=x(k+N),k=1,2,...,1024x(k)=x(k+N),k=1,2,...,1024FFT窗口设置为1024,基带传输速率设置为 RsR_sRs​,则子载波间隔 fsc=Rs1024f_{sc} = \frac{R_...

2019-09-23 12:37:02 3605 1

win10镜像中的sxs文件,用以离线安装.netframework3.5环境

win10镜像中的sxs文件,用以离线安装.netframework3.5环境;在运行Dism工具后,以管理员身份运行命令行:"dism.exe /online /enable-feature /featurename:netfx3 /Source:E:\sxs",其中E:\sxs为对应sxs文件位置

2021-12-03

DDS Compiler 6.0 IP核 使用官方用户手册

DDS Compiler 6.0 IP核 使用官方用户手册

2021-06-02

ZYNQ_开发平台参考教程.7z

MicroZus_开发平台参考教程,MicroPhase开发板购买带的开发资料,基于ZYNQ XC7Z010 CLG400 器件,使用FPGA PL部分,或者ARM PS 部分开发实用入门功能,包含一些进阶VIVADO软件操作,是一个很不错的入门教程。

2020-01-13

Vivado_license.zip

Vivado License 文件,亲测2019.1版本可用,有效期到2017.5; Vivado Licence 永久使用版 源码来源于互联网 适用版本:适用于Vivado 2037年版 之前的任何版本 过期日期:永久有效 使用方法: 1.打开Vivado License Manager(注册文件管理器) 2.点击Load License 3.将license文件导入 4.在View License Status查看license适用版本与过期日期

2019-11-23

数据拟合,将一组数据作直方图,并在同一幅图中做出相应的正态拟合曲线

数据拟合,将一组数据作直方图,并在同一幅图中做出相应的正态拟合曲线

2019-09-18

2X1 MISO 2x2 MIMO 在 STBC QPSK下的 误码率

2X1 MISO 2x2 MIMO 在 STBC QPSK下的 误码率 对比 ,MIMO大约有3dB增益

2019-09-18

16QAM 与 QPSK 在 AWGN 信道下的对比

16QAM 与 QPSK 在 AWGN 信道下的对比,验证大约有7dB的差异

2019-09-18

QPSK 调制在高斯信道下的性能

R2016b 以后可以支持 | 第一节为误比特率,第二节为误码率,第三节为使用for 语句编写 | 第一节theory设为1有理论与实际曲线

2019-09-18

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除