自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

数据矿工的博客

Linux & Database & DevOps

  • 博客(237)
  • 资源 (10)
  • 收藏
  • 关注

原创 oracle常用性能视图查询语句

--表空间使用率SELECT a.tablespace_name "tablespace_name", round(total / (1024 * 1024 * 1024),4) "tablespace_space(G)", round((total - free) / (1024 * 1024 * 1024),4) "tablespace_used(G)", ...

2018-12-27 17:15:06 1103

转载 Oracle之查看最近最消耗CPU的SQL语句及会话信息的方法

可以根据 V$ACTIVE_SESSION_HISTORY 视图来获取。1、查找最近一分钟内,最消耗CPU的SQL语句;3、查找最近一分钟内,最消耗I/O的SQL语句;4、查找最近一分钟内,最消耗资源的SQL语句;2、查找最近一分钟内,最消耗CPU的会话;5、查找最近一分钟内,最消耗资源的会话。

2024-02-20 14:32:29 148

转载 JedisDataException: LOADING Redis is loading the dataset in memory

redis版本:redis-2.8.12 【64位】 操作系统:Linux version 2.6.32 【64位】 异常状况描述: redis中dump.rdb文件到达3G时,所有redis的操作都会抛出此异常。 原因: maxmemory默认是3G 解决: redis.conf中maxmemory <5368709120>设置大一些,比如5G,30G等

2024-02-20 10:25:40 56

转载 【总结】Redis连接错误:MISCONF Redis is configured to save RDB snapshots...

vi打开redis-server配置的redis.conf文件,然后使用快捷匹配模式:/stop-writes-on-bgsave-error定位到stop-writes-on-bgsave-error字符串所在位置,接着把后面的yes设置为no就可以了。Redis被配置为保存数据库快照,但它目前不能持久化到硬盘。用来修改数据的命令被禁用了,因为目前实例配置是当写数据时快照失败了去报告错误。请查看Redis日志的详细错误信息。2. 修改redis.conf配置文件。1. 通过redis命令行修改。

2024-02-20 10:06:44 39

转载 socat安装

TCP4-LISTEN:15000,reuseaddr,fork,su=nobody”是一号地址,代表在15000端口上进行TCP4协议的监听,复用绑定的IP,每次有连接到来就fork复制一个进程进行处理,同时将执行用户设置为nobody用户。socat里面,必须有两个流,所以第一个参数-代表标准的输入输出,第二个流连接到192.168.1.18的80端口。“-d -d -lf /var/log/socat.log”是参数,前面两个连续的-d -d代表调试信息的输出级别,-lf则指定输出信息的保存文件。

2024-02-20 09:53:59 157

转载 使用gohttpserve共享你的文件

上一篇文件我们写了用windows进行文件共享,使用gohttpserve共享你的文件1(windows基础篇),但是在实际工作中,我们一般采用linux作为服务器,因此本篇内容简单介绍一下如果使用linux进行文件共享。Oracle VM VirtualBox的是使用可参考链接:https://blog.csdn.net/kongmingxiaoxiao/article/details/89439257。下载gohttpserve 的linux版本,并解压放置在linux的共享目录。

2024-02-20 09:46:17 17

转载 maven项目中的groupid、artifactid和Version

比如我创建一个项目,我一般会将groupId设置为cn.zr,cn表示域为中国,zr是我个人姓名缩写,artifactId设置为testProj,表示你这个项目的名称是testProj,依照这个设置,你的包结构最好是cn.zr.testProj打头的,如果有个StudentDao,它的全路径就是cn.zr.testProj.dao.StudentDao。其中1.0是版本号,SNAPSHOT版本代表不稳定、尚处于开发中的版本。groupId一般分为多个段,这里我只说两段,第一段为域,第二段为公司名称。

2024-01-29 10:45:09 138

转载 JVM之GC日志解读

JVM之GC日志参数

2023-11-19 16:50:40 104

原创 Python 与Perl读取文件并存入字典(哈希)代码对比

Python 与Perl读取文件并存入字典(哈希)代码对比

2023-03-09 10:59:18 383 1

原创 Navicat 连接MySQL8失败的解决办法

加密mysql_native_password

2022-07-23 16:02:59 582

转载 用类比的方式梳理Performance_schema的表关系

setup_instruments、setup_objects、threads、setup_consumers

2022-07-20 20:40:12 330

原创 MySQL 常用查询

MySQL常用查询

2022-07-18 23:10:45 180

转载 在Linux最大打开文件数限制下 MySQL 对参数的调整

open_files_limit=effective_open_files

2022-07-14 20:50:54 203

转载 MySQL优化innodb_log_file_size参数

当前的log序号到最后一次的checkpoint的时候,不要超过innodb_log_files_in_group*innodb_log_file_size的0.75

2022-07-13 21:41:45 2222

转载 MySQL查询慢的综合原因

MySQL慢查询调优

2022-07-12 10:29:30 3989

转载 MySQL过期参数查询缓存query_cache_type和query_cache_size

query_cache_type和query_cache_size

2022-07-12 09:06:19 818

转载 binlog_do_db 与 binlog_ignore_db

binlog_ignore_db 参数的效果确实和诸多因素有关,特别是有从库的情况下,主库要特别小心使用此参数,很容易产生主从同步错误。

2022-07-11 15:31:18 2536

原创 MySQL数据库performance_schema.threads中的3种线程ID

performance_schema.threads的3种id

2022-07-09 17:25:50 549

原创 HAPROXY 基于COOKIE的会话保持

haproxy的cookie

2022-07-08 10:37:45 337

转载 oracle 11g r2 rac修改ocr及votedisk所在磁盘组位置

替换的OCR磁盘组

2022-07-08 09:50:39 336

原创 oracle 查看CDB,PDB基础操作

oracle 启动PDB

2022-07-06 14:21:42 1988

转载 show full processlist

show full processlist

2022-06-28 10:34:04 807

转载 DBMS_METADATA.set_transform_param格式化输出

DBMS_METADATA.set_transform_param格式化输出获得DDL--输出信息采用缩排或换行格式化EXEC DBMS_METADATA.set_transform_param(DBMS_METADATA.session_transform, 'PRETTY', TRUE);--确保每个语句都带分号EXEC DBMS_METADATA.set_transform_param(DBMS_METADATA.session_transform, 'SQLTERMINATOR',

2022-03-30 14:33:16 899

转载 sql 在not in 子查询有null值情况下经常出现的陷阱

如果下:Table_A表和Table_B表,要求查询出在Table_A表中不在Table_B表中的记录。CREATE TABLE [dbo].[Table_A]( [ID] [nchar](10) NULL, [Name] [nchar](10) NULL) ON [PRIMARY]GOID Name001 张三 002 李四 003 王五 CREATE TABLE [d

2022-03-03 23:00:17 315

转载 Shell表达式,如${file##*/}

假设我们定义了一个变量为:复制代码代码如下:file=/dir1/dir2/dir3/my.file.txt可以用${ }分别替换得到不同的值:复制代码代码如下:{file#*/}:删掉第一个/及其左边的字符串:dir1/dir2/dir3/my.file.txt{file#*/}:删掉第一个/及其左边的字符串:dir1/dir2/dir3/my.file.txt{file##*/}:删掉最后一个 / 及其左边的字符串:my.file.txt{file#*.}:删掉第一...

2021-11-15 11:08:02 684

转载 weblogic JMS配置过程

每一步都要单步提交,避免相互调用出现锁定占用状态1、新建持久化存储服务>FileStore>持久化存储,配置持久化名称,制定持久化目录。选择新建类型,本次创建FileStore给定存储名称,和目标server创建后的效果2、JMS服务器配置新建JMS服务器给定JMS服务器名称,并制定持久化存储名(步骤1 创建)3、新建模块4、配置子部署  1).点击服务-消息传送-JMS模块-点击进去你刚...

2021-10-07 20:55:23 310

转载 oracle数据库常用命令四(PDB内存和CPU设置)

PDB中内存管理show con_nameSHOW PARAMETER sga_target;PDB1的内存设置ALTER SESSION SET CONTAINER=pdb1;SHOW PARAMETER sga_target;SHOW PARAMETER SGA_MIN_SIZE :PDB的最小SGA大小SHOW PARAMETER SGA_TARGET :PDB的最大SGA大小ALTER SYSTEM SET SGA_MIN_SIZE=512M;ALTER SYSTEM SET

2021-09-13 17:52:10 1145

转载 mysql查看占用内存或者CPU高的SQL

一、查看plsql实际使用的ora文件帮助 -> 信息 中找到对应的TNS File信息,如下伟实际生效的 tnsnames.ora 文件路径,如果文件存在则读取,不存在也不会报错。对应的环境变量设置修改路径 tnsnames.ora 文件路径将 TNS_ADMIN 中系统变量值修改为实际使用的 tnsnames.ora 所在文件夹即可————————————————版权声明:本文为CSDN博主「中国lanwp」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链

2021-07-11 22:23:32 6073

转载 Oracle队列等待之enq: US - contention案例

1.1问题现象应用反馈业务执行SQL响应超时,需要数据库排除DB是否存在问题,创建AWR观察到top event 新增enq: US - contention ???1.2问题分析3)新出现的等待事件是什么?enq: US - contention 参考如下文档How to correct performance issues with enq: US - contention related to undo segments (Doc ID 1332738.1)The wait ..

2021-07-06 20:05:36 465

转载 oracle12c的CDB与PDB

oracle12c的新特性Oracle 12C引入了CDB与PDB的新特性,在ORACLE 12C数据库引入的多租用户环境(Multitenant Environment)中,允许一个数据库容器(CDB)承载多个可插拔数据库(PDB)。CDB全称为Container Database,中文翻译为数据库容器,PDB全称为Pluggable Database,即可插拔数据库。在ORACLE 12C之前,实例与数据库是一对一或多对一关系(RAC):即一个实例只能与一个数据库相关联,数据库可以被多个实例所加载。而

2021-06-06 10:41:34 873

转载 判断weblogic是开发者模式还是生产模式

启动weblogic进入consle界面,当左上角是这样的时候是生产模式,当左上角是酱紫的时候 说明是开发者模式又名热启动模式开发者模式默认开启自动部署(自动部署:每更新一次项目,就要重启一次服务器,相当麻烦,而设置好自动部署,没隔一段时间就会帮你更新服务器)生产者模式默认关闭~~~~开发者模式会随时更新,很影响性能,所以开发好的应用,尽量使用生产者模式另外 开发者模式下还支持热部署(我的理解,热部署和自动部署的区别,自动部署是指你修改方法体的时候,不需要重启服务,热部署是指你创建了..

2021-06-06 10:14:46 692

转载 Weblogic部署项目三种方式

在weblogic中部署项目通常有三种方式:第一,在控制台中安装部署;第二,将部署包放在domain域中autodeploy目录下部署;第三,使用域中配置文件config.xml 进行项目的部署。控制台部署1 启动weblogic服务,登录到weblogic控制台页面,输入用户名和密码,登录到控制台里面2 点击左侧的部署3 在右侧点击安装按钮,准备进行项目安装4 看到路径输入框,可以在下面选择要部署的项目的位置5 也可以直...

2021-06-02 22:08:32 5174 3

转载 plsql设置tnsnames.ora

一、查看plsql实际使用的ora文件帮助 -> 信息 中找到对应的TNS File信息,如下伟实际生效的 tnsnames.ora 文件路径,如果文件存在则读取,不存在也不会报错。对应的环境变量设置修改路径 tnsnames.ora 文件路径将 TNS_ADMIN 中系统变量值修改为实际使用的 tnsnames.ora 所在文件夹即可...

2021-06-02 20:10:27 4125

转载 xargs命令详解,xargs与管道的区别

为什么要用xargs,问题的来源在工作中经常会接触到xargs命令,特别是在别人写的脚本里面也经常会遇到,但是却很容易与管道搞混淆,本篇会详细讲解到底什么是xargs命令,为什么要用xargs命令以及与管道的区别。为什么要用xargs呢,我们知道,linux命令可以从两个地方读取要处理的内容,一个是通过命令行参数,一个是标准输入。例如cat、grep就是这样的命令,举个例子: 1 echo'main'|cattest.cpp 这种情况下cat会输出te...

2021-05-10 21:46:09 221

原创 md5sum校验文件

[root@test-01 ~]# md5sum jdk-8u291-linux-x64.tar.gz >12.md5[root@test-01 ~]# cat 12.md5 66902b60fb9b45c0af9e90002ac3a711 jdk-8u291-linux-x64.tar.gz[root@test-01 ~]# md5sum -c 12.md5 jdk-8u291-linux-x64.tar.gz: OK

2021-05-07 17:25:17 131

转载 Error: Could not create the Java Virtual Machine

早上重启了次centos ,然后查看jdk版本就。。。。。centos 7 查看jdk 版本出现:Error: Could not create the Java Virtual Machine.Error: A fatal exception has occurred. Program will exit.目前这个错误也算是一个坑了 差点把自己埋了。。。。。解决方法:就是先看看下载在目录下安装包的版本,jdk1.7 — jdk1.8在centos7 当中操作指令集是不一样的 ,jdk1

2021-05-05 11:35:28 1925

转载 centos7查看修改时区

1、timedatectl查看时间各种状态:Local time: 四 2014-12-25 10:52:10 CSTUniversal time: 四 2014-12-25 02:52:10 UTCRTC time: 四 2014-12-25 02:52:10Timezone: Asia/Shanghai (CST, +0800)NTP enabled: yesNTP synchronized: yesRTC in local TZ: no2、timedatectl list-timez

2021-04-06 14:46:49 305

转载 db block gets、consistent gets和physical reads

针对以上3个概念进行的说明解释及关系如下: 1、DB Block Gets(当前请求的块数目)当前模式块意思就是在操作中正好提取的块数目,而不是在一致性读的情况下而产生的块数。正常的情况下,一个查询提取的块是在查询开始的那个时间点上存在的数据块,当前块是在这个时刻存在的数据块,而不是在这个时间点之前或者之后的数据块数目。2、Consistent Gets(数据请求总数在回滚段Buffer中的数据一致性读所需要的数据块)这里的概念是在处理你这个操作的时候需要在一致性读状态上处理多少个块,这些块产生的主要原

2021-03-09 10:29:11 581

转载 CentOS 7的jar包服务开机自启

1、切换到该目录下cd /ets/systemd/system2、在该目录下新建一个 后缀名为 .service 的文件3、该文件下输入[Unit]Description=uum.serviceAfter=syslog.target network.target[Service]Type=simpleExecStart=/usr/java/jdk1.8.0_181/bin/java -jar /home/uum.jar &#前面是java命令..

2021-03-02 09:36:34 838

转载 MySQL如何删除和归档历史数据

1.通用数据归档方法#1. 创建归档表,一般在原表名后面添加_bak。CREATE TABLE `ota_order_bak` ( `id` bigint(11) NOT NULL AUTO_INCREMENT COMMENT '主键', `order_id` varchar(255) DEFAULT NULL COMMENT '订单id', `ota_id` varchar(255) DEFAULT NULL COMMENT 'ota', `check_in_date` varch

2021-02-22 15:12:42 1617

Vmware Workstation网络设置V2

Vmware Workstation网络设置V2

2022-02-15

Innovative Exams Screensharing.crx

CKA考试必备,google谷歌浏览器插件

2021-10-01

OraGlance200810.zip

oracle轻量级程序 无需安装

2020-11-24

华为数通思维导图36张.zip

华为数通思维导图36张 不可多得的精品 包含HCIA的知识点 网络工程师(软考)建议收藏 华为数通思维导图36张 不可多得的精品 包含HCIA的知识点 网络工程师(软考)建议收藏

2020-11-02

Vmware Workstation网络设置.docx

Vmware Workstation的3个模式:主机模式、NAT模式、桥接模式。

2020-06-29

网络工程师教程-完整书签版 第五版PDF.pdf

网络工程师教程 完整书签版 第五版 资料宝贵 值得拥有

2019-08-07

2019年软件设计师上午知识点

2019年软件设计师上午知识点,精华浓缩,考试帮手,值得拥有

2019-04-28

oracle-instantclient11.2-basic-11.2.0.4.0-1.x86_64/sqlplus/devel

oracle-instantclient11.2-basic-11.2.0.4.0-1.x86_64.rpm,

2019-04-08

软件设计师考试考眼分析与样卷解析2016版

软件设计师考试考眼分析与样卷解析2016版 高清 全网唯一带书签

2019-03-12

软件设计师教程_第5版 全网唯一带书签

软件设计师教程_第5版 高清版本,全网唯一带书签,绝对稀缺

2019-02-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除