自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(35)
  • 资源 (12)
  • 收藏
  • 关注

原创 阿里云飞燕平台搭建与linux程序通信(全图文教程)

阿里云飞燕平台搭建与linux程序通信(全图文教程),配有源码

2024-04-12 16:04:20 1272 6

原创 linux下线程分离属性

首先分离属性是线程的一个属性,有了分离属性的线程,不需要别的线程去接合自己的(回收自己的资源)。

2024-03-23 21:43:13 1088 1

原创 ARM开发板实现24位BMP图片缩放

ARM开发板实现24位BMP图片缩放

2024-03-19 22:41:31 575 2

原创 LVGL移植到ARM开发板(GEC6818开发板)

lvgl8.2版本移植到ARM开发板

2024-03-14 21:51:36 1463 1

原创 C语言实现回调函数

C语言实现回调函数

2024-03-08 17:01:55 526

原创 telnet登录ARM开发板

Telnet协议是TCP/IP协议族中的一员,是Internet远程登录服务的标准协议和主要方式。它为用户提供了在本地计算机上完成远程主机工作的能力。在终端使用者的电脑上使用telnet程序,用它连接到服务器。终端使用者可以在telnet程序中输入命令,这些命令会在服务器上运行,就像直接在服务器的控制台上输入一样。可以在本地就能控制服务器。要开始一个telnet会话,必须输入用户名和密码来登录服务器。Telnet是常用的远程控制Web服务器的方法。

2023-07-05 11:40:39 1306 2

原创 linux+onenet可视化(图形化步骤)

linux+物联网,实现温湿度数据上传及按键数据下传

2023-05-27 18:36:32 2746 1

原创 C语言中void的高级应用

C语言中的void 高级运用

2023-04-02 23:15:38 8769 10

原创 二维数组的函数传参分析

二维数组的函数传参分析

2023-04-02 10:13:53 2527 9

原创 STM32F407VET6核心板下载器连接方法

STM32F407VET6核心板下载器连接方法

2023-03-10 19:52:00 2800 6

原创 STM32F407输入捕获应用--PWM 输入模式测量脉冲频率与宽度

STM32F407输入捕获应用--PWM 输入模式测量脉冲频率与宽度

2022-11-24 14:58:20 5188 5

原创 STM32F407 电机编码器测量

STM32F407 定时器编码器功能,可以用于电机的脉冲编码器测量,计量电机正反转,速度等

2022-11-23 11:32:22 2888 7

原创 OneNET平台搭建与测试

OneNET云服务器搭建与测试

2022-10-21 15:30:31 6157 8

原创 linux动静态库

函数:某些功能代码的封装,可能通过将函数声明放置头文件当中,给其它文件进行调用,那么封装的函数可见(可以使用代码实现的过程),称为开源。封装的函数不可见(将代码实现的过程进行封装动静态库),称为闭源(不开源)。...

2022-08-06 18:19:10 418 1

原创 ubuntu 20.04安装各类软件

ubuntu 20.04安装后,我输入gcc -v,出现了下面的情况,差点裂开了,20.04居然没有自带gcc编译器,以前安装的版本12.04 16.04都自带的,再查,居然vim也是没有装的。真的栓Q这个版本,不过呢,做为一个linux开发的工程师,这点在linux安装各类软件,也是要学会的了。这是必备的技能了。...

2022-07-25 21:44:47 2650 16

原创 基于STM32F407 ADC双通道 PS2游戏机摇杆ADC采集

基于STM32F407 ADC双通道 PS2游戏机摇杆ADC采集,内容包含摇杆原理,硬件连接,代码分析,实现效果分析。

2022-05-07 11:20:06 5649 7

原创 linux驱动ioctl传输结构体

linux驱动 ioctl结构体

2022-04-07 21:49:42 1532 2

原创 STM32F407 freemodbus移植

STM32F407 freemodbus移植

2022-01-11 21:16:43 3088 12

原创 基于STM32F407 DHT22温湿度测量

基于STM32F407 DHT22温湿度测量

2022-01-04 16:20:23 4882 1

原创 联想小工具之----一键关闭防火墙

联想小工具之----一键关闭防火墙

2021-12-22 20:04:15 2633

原创 如何通过网页查看IP与端口

如何通过网页查看IP与端口1、使用场景在嵌入式系统开发过程,如何想要访问某个网页时,在程序中是需要先进入建立连接。建立连接就必须要知道对方的IP地址与端口号。2、网页查看IP与端口使用QQ浏览器打开某个网页,记住要用QQ浏览器打开,其它的浏览器似乎不支持访问。以百度为例子,打开百度网页后按F12,弹出网页信息。点击NetWork,然后按Crtl+R键调出详细信息表,并单击。即可看到详细信息3、应用举例比如使用单片机进行天气获取,以北京的API为接口为例子,获取北京天气API网址:ht

2021-12-06 16:22:27 24648 6

原创 基于STM32F407的DMA+SPI实现WS2812B全彩灯实现(可以驱动上百个灯)

基于STM32F407的DMA+SPI实现WS2812B全彩灯实现一、WS2812B概述及驱动原理1、产品概述2、主要特点3、主要应用领域4、实物与引脚5、驱动原理二、DMA+SPI原理1、DMA2、SPI2.1 SPI概述2.2 SPI与WS2812B位关系三、程序实现四、实验现象WS2812B是一个集控制电路与发光电路于一体的智能外控LED光源。元件即为一个像素点。像素点内部包含了智能数字接口数据锁存信号整形放大驱动电路,还包含有高精度的内部振荡器和12V高压可编程定电流控制部分,有效保证了像素点光的

2021-12-03 16:24:23 9300 24

原创 基于STC8的WS2812b全彩灯实现

基于STC8的WS2812b全彩灯实现一、WS2812B概述及驱动原理1、产品概述2、主要特点3、主要应用领域4、实物与引脚5、驱动原理3、主要应用领域3、主要应用领域生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入WS2812B是一个集控制电路与发光电路于一体的智能外控LED光源。元件即为一个像素点。像素点内部包含了智能数字

2021-11-06 15:37:21 9439 10

原创 阿里云MQTT服务器搭建与测试(全图文,非常详细)

阿里云MQTT服务器搭建与测试一、 MQTT概念二、 阿里云MQTT服务器搭建1 阿里云平台注册及认证2 添加平台2 创建产品与设备获取MQTT连接相关信息三、MQTT.fx测试1 MQTT.fx下载及安装2 配置登录信息3 从MQTT.fx上报数据到阿里云服务器4 阿里云下发数据到MQTT.fx一、 MQTT概念MQTT(Message Queuing Telemetry Transport,消息队列遥测传输协议),是一种基于发布/订阅(publish/subscribe)模式的"轻量级"通讯协议,该

2021-11-01 15:37:40 38881 25

原创 根文件系统制作

根文件系统制作一、根文件系统准备工作1、软件准备2、硬件准备二、根文件系统制作1、编译BusyBox2、修改Makefile3、添加根文件系统中文支持4、配置BusyBox5、编译Busybox6、添加目录与文件6.1 添加目录6.2 lib添加库文件6.3 创建/etc/init.d/rcS 文件及添加代码6.4 创建/etc/fstab 文件及添加代码6.5 创建/etc/inittab 文件及添加代码6.6 创建/etc/profile 文件及添加代码7、生成镜像文件三、验证1、根文件系统烧录2、编写

2021-09-16 16:38:03 1717 3

原创 STM32F407 FreeRTOS移植

STM32F407 FreeRTOS移植一、获取FreeRTOS源码打开FreeRTOS官网:www.freertos.org,在官网下载即可,这里不做步骤说明,或者通过百度下载即可,下载网址:链接:https://pan.baidu.com/s/1l3QMTdRNGPFbZfb-d2McRw 提取码:yyds二、FreeRTOS移植2.1准备工程使用一个配置好时钟的工程,如LED库函数开发工程。将工程改名为FreeRTOS移植2.2向工程中添加相应文件(1)在工程中创建一个Fr

2021-08-20 17:13:50 3686 21

原创 使用KEIL如何生成xxx.bin文件

使用KEIL如何生成xxx.bin文件二进制文件,其用途依系统或应用而定。一种文件格式binary的缩写。一个后缀名为".bin"的文件,只是表明它是binary格式。比如虚拟光驱文件常用".bin"作为后缀,但并不意味着所有的bin文件都是虚拟光驱文件。一般来讲是机器代码,汇编语言编译后的结果(磁盘操作系统下汇编语言编译后与".com"文件相类似),用debug、WINHEX,U_EDIT等软件可以打开(通常不一定能看得懂是些什么除非学习过汇编语言)。这类所有的文件,无论后缀名是什么,一律分为两种格式"

2021-08-18 10:48:10 406

原创 STM32CubeMX安装(全图文安装步骤,一步不落下)

一、STM32CubeMX软件简介STM32CubeMX是意法半导体推出的图形化配置工具,通过傻瓜化的操作便能实现相关配置,最终能够生成C语言代码,支持多种工具链目前提供完全免费的版本,并且,通过插件式安装,可以将STM32CubeMX集成在一个IDE,使用十分方便。接下来我们一起来安装它吧准备阶段:软件准备:软件可在百度软件下载链接:https://pan.baidu.com/s/1CYGH2LevHiOj9O34L38Uzg提取码:ujvv复制这段内容后打开百度网盘手机App,操作更方便哦

2021-04-30 09:55:11 14342 23

原创 STM32 位带操作

前言这两天闲着没事干,想写点东西给小伙伴看看,觉得就讲STM32位带操作,大家在阅读别人在写STM32标准库里,比如PBout(9)=1,详细查看之后,又发现了某个头文件,如下,你会发现,啥也看不懂。靠,它认识我,我不认识它啊。搞个毛啊。别急,听我慢慢讲来。#ifndef __SYS_H_#define __SYS_H_ #include "stm32f4xx.h" //IO口操作宏定义#define BITBAND(addr, bitnum) ((addr & 0xF000000

2021-04-27 14:29:12 1133 4

原创 KEIL V5切换STM32标准库与HAL库方法

KEIL V5切换STM32标准库与HAL库方法在STM32开发过程中,偶尔要使用STM32标准库函数与STM32 HAL库,但是发现,如果安装HAL后,再打开标准库的工程后,发现编译出错了,看下图原理是标准库找不到了。怎么解决呢打开STM32库函数默认的安装路径可以看有两个库函数,文件夹1.0.8是安装的标准库文件,2.14.0文件夹是HAL库文件。解决步骤打开标准库工程,打开库操作图标再点击上层的OK重新编译工程,完美解决当然,如果标准库切换为HAL也是同样的方法了。

2021-04-25 11:17:51 5618 11

原创 基于STM32F407超声波测距

一、超声波测距1、产品特点:HC-SR04 超声波测距模块可提供 2cm-400cm 的非接触式距离感测功能, 测距精度可达高到 3mm;模块包括超声波发射器、接收器与控制电路。 本工作原理:(1)采用 IO 口 TRIG 触发测距,给最少 10us 的高电平信号。(2)模块自动发送 8 个 40khz 的方波,自动检测是否有信号返回。(3)有信号返回, 通过 IO 口 ECHO 输出一个高电平, 高电平持续的时间就是超声波从发射到返回的时间。 测试距离=(高电平时间*声速(340M/S))/

2021-04-14 14:49:26 4779 12

原创 Ubuntu16.04网络配置

Ubuntu16.04网络配置一、设置网络1)Windows打开cmd输入 ipconfig -all可以看到修改为静态IP二、Ubuntu修改网络为静态IP设置虚拟机为桥接模式1.打开ubuntu的/etc/network/interfaces:sudo gedit /etc/network/interfaces文件默认的内容如下:auto loiface lo inet loopback动态获取的配置方法:auto eth0iface eth0 inet dh

2021-04-07 17:13:45 8005

原创 如何对变量进行对位置1与对位清0

如何对变量进行对位置1与对位清0在单片机开发或者驱动开发的过程中,需要对寄存器的某个位进行置1或者清0操作,且不影响其它位,那是如何进行实现的呢,先以某个变量为例子上面看懂之后,以某个led开发为例子led.h#ifndef __LED_H#define __LED_H#include "stm32f4xx.h"#define RCC_AHB1ENR *((volatile unsigned int *)(0x40023800+0x30)) //值强制类型转换为地址,地址解引用,

2021-04-07 17:01:19 2306 1

原创 KEIL5软件安装步骤(全图文,全网最详细)

KEIL5软件安装步骤(全图文,全网最详细)1、关闭电脑相关防火墙(1)关闭电脑杀毒软件,包括360、腾讯管家等相关软件(2)关闭WIN10自带的防火墙(步骤如下图)2、安装Keil软件,不用安装相关的库文件,具体步骤如下:安装中。。。。。。。。。。。。。。。。。。。。。。。。。。。。不安装相关驱动不安装库文件(先关小窗口,再关大窗口)3、软件破解,软件破解后才能编译文件才能大于10K.(1)以管理员权限打开软件,复制CID码(2)解压软件,并以管理员

2021-04-03 09:23:22 8708 13

原创 如何让Ubuntu16.04登录后不休眠(页面操作方式

如何让Ubuntu16.04登录后不休眠(页面操作方式)Ubuntu16.04登录后每隔一段时间后都会进入休眠状态,又要输入密码,很是让人抓狂如何通过页面操作解决些问题呢,请看下面操作完美解决,上面的英语不懂,查百度翻译吧...

2021-03-30 17:01:46 1045 2

C语言版本MQTT协议,连接阿里云物联网平台客户端代码

C语言版本MQTT协议,连接阿里云物联网平台客户端代码,亲测阿里云平台,生活物联网平台(飞燕平台)都可通信,详细连接可以看我的博客

2024-04-12

lv-port-linux-frame-buffer-release-v8.2(ARM开发板移植好文件-S5P6818)

lv_port_linux_frame_buffer-release-v8.2(ARM开发板移植好文件--S5P6818)

2024-03-14

LVGL8.2版本源码

LVGL8.2版本源码(三个核心文件已经全部包含)

2024-03-14

定时器PWM输入测量频率与脉冲宽度

定时器PWM输入测量频率与脉冲宽度,测量脉宽和频率有一个更简便的方法就是使用 PWM 输入模式。与上面那种只使用一个捕获寄存器测量脉宽和频率的方法相比,PWM 输入模式需要占用两个捕获寄存器。

2022-11-24

STM32F407 定时器编码器功能

STM32F407 定时器编码器功能,通过获取编码器A B相输入的脉冲,可以测量出电机正反转、加速度、减速度、角度等

2022-11-23

基于STM32F407 ADC双通道 PS2游戏机摇杆ADC采集

基于STM32F407 ADC双通道 PS2游戏机摇杆ADC采集,内容包含摇杆原理,硬件连接,代码分析,实现效果分析。

2022-05-07

FreeModbus.rar

基于STM32F407标准库函数移植好的FreeModbus

2022-01-11

基于STM32F407的DHT22程序代码

基于STM32F407的DHT22程序代码

2022-01-04

全国天气地区码,用于程序开发.doc

全国天气地区码,用于程序开发,地区码齐全

2021-12-06

STM32OTA+ESP8266+Linux.rar

通过Linux服务器,远程可通过OTA技术将APP更新到STM32的FLASH当中,完成远程升级,内含有服务器源码,APP源码,STM32uboot源码及视频演示

2021-08-31

ESP8266-01 01S WIFI模块无线收发串口远距离物联网开发板12F 12E.rar

ESP-01 ESP-07 ESP-07S ESP-12S ESP-12F相关及入门资料

2021-04-27

Keil.STM32F0 F1 F2 F3 F4 F7.rar

最新最全STM32F系列HAL库函数,包含F1 F2 F3 F4 F7的库文件支持包

2021-04-14

en.stm32cubemx-win_v6-2-0.zip

最新版本STM32Cube软件

2021-03-13

STM32F4xx_DFP.1.0.8.zip

STM32F4系列单片机标准库支持包

2021-03-12

STM32F1xx_DFP.1.0.5.zip

STM32F1系列单片机标准库支持包

2021-03-12

虚拟机nfs服务器搭建.doc

window与ubuntu的NFS文件共享、ubuntu与开发板NFS文件共享

2021-01-27

QT5开发及实例

QT5开发及实例(含源码)

2017-05-31

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除