自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (13)
  • 收藏
  • 关注

空空如也

fpga code.rar

基于FPGA的图像算法,有Verilog 代码实现的例程,包含了PDF讲解,学习的好资料,带你入门fpga的图像处理,基于Xilinx spartan6 fpga

2020-08-31

FPGA连通域识别.rar

多目标连通域识别,verilog源码识别,附带仿真测试工程,占用2k左右资源,十几行ram缓存,经过测试可以识别出图形中目标,适合在ISE工程中使用

2020-08-20

MPU6500 STM32F103.rar

MPU6500的STM32F103程序,驱动MPU6500读出数据通过串口发送给上位机,还包含了MPU6500的各种芯片手册,程序简单易懂,让你轻松玩转陀螺仪和加速计

2020-06-02

华为FPGA.rar

从网上下载FPGA设计教程,里面有各种各样的FPGA学习资料文档( FPGA设计流程指南、大规模逻辑电路设计指导书/同步电路设计规范)!值得下载学习!

2020-05-08

VIP_AR0144_HDK_V1.0.zip

fpga coms摄像头代码控制,通过SDRAM缓存图像数据,用VGA显示出来,程序方便易懂,具有较高的学习价值

2020-04-22

Debussy-5.4-Windows.zip

非常好用的Verilog代码阅读工具,可以定位代码位置等多个功能,FPGA开发必备工具,虽然现在软件停更,但是依旧经典

2020-04-18

OV5640_vga_3_4.zip

用ISE IP核和OV5640实现运动目标检测,VGA显示,验证通过,代码清晰易懂,可以拿来学习,值得下载和学习

2020-04-18

ov5640_vga_demo.rar

完成OV5640的视频采集,通过DDR3芯片缓存图像,然后通过VGA将视频图像传输到PC进行显示。Xilinx ISE14.7调试成功

2020-04-03

ov7670_vga_Verilog.rar

基于FPGA的OV7670 VGA显示控制代码和资料,用Verikog写得,用ISE 14.7调试成功,值得下载!!!

2020-03-30

OV5640 FPGA VGA显示代码

基于FPGA的OV5640 VGA显示控制代码和资料,用Verikog写得,用ISE 14.7调试成功,值得下载!!!

2020-03-30

STM8S中文参考手册.rar

STM8S 系列中文参考手册,学习STM8很不错的中文资料,里面详细介绍了STM8S103的寄存器和配置

2019-06-27

嗨翻C语言 (图灵程序设计丛书).azw3

程序员 kindle 阅读最佳体验

2016-05-11

MSP430电子密码锁

师兄用430写的电子密码锁,12864显示,矩阵键盘输入,代码模块化十分清晰,对要写密码锁的很有帮助

2013-05-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除