自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (23)
  • 收藏
  • 关注

空空如也

Setup_Rizek_QSS_Editor

QSS 编辑预览工具。对于用pyqt开发的人员可以使用,具有代码高亮,错误提示,语法提示功能

2019-03-24

easyUI布局,datatable, 日历选择 做的小系统

DategridSearch.html

2018-06-07

jquery 实现一个加载等待功能

点击开始弹出一个gif旋转,点击取消gif关闭。简单的一个功能。

2017-06-11

VGA显示彩条及弹球

verilog 编写的VGA显示彩条和弹球。程序很简单。

2010-10-10

logic lock功能功能演示

• Quartus II约束设计。 • Quartus II时序分析。 • Quartus II逻辑锁定。

2010-08-12

基于CPLD_FPGA的数字通信系统建模与设计(书)

出版/发行时间: 2004-08-01 出版社: 电子工业出版社 丛书名: 新编电气与电子信息类本科规划教材 作者: 段吉海 ISBN: 7-121-00212-4

2010-08-12

单片机C语言程序设计实训100例基于8051_Proteus仿真

第3章 基础程序设计  3.1 闪烁的LED  3.2 从左到右的流水灯  3.3 左右来回循环的流水灯  3.4 花样流水灯  3.5 LED模拟交通灯  3.6 单只数码管循环显示0~9  3.7 8只数码管滚动显示单个数字  3.8 8只数码管显示多个不同字符  3.9 数码管闪烁显示  3.10 8只数码管滚动显示数字串  3.11 K1~K4控制LED移位  3.12 K1~K4按键状态显示  3.13 K1~K4分组控制LED  3.14 K1~K4控制数码管移位显示  3.15 K1~K4控制数码管加减演示  3.16 4×4键盘矩阵控制条形LED显示  3.17 数码管显示4×4键盘矩阵按键  3.18 开关控制LED  3.19 继电器控制照明设备  3.20 数码管显示拨码开关编码  3.21 开关控制报警器  3.22 按键发音  3.23 播放音乐  3.24 INT0中断计数  3.25 INT0中断控制LED  3.26 INT0及INT1中断计数  3.27 TIMER0控制单只LED闪烁  3.28 TIMER0控制流水灯  3.29 TIMER0控制4只LED滚动闪烁  3.30 T0控制LED实现二进制计数  3.31 TIMER0与TIMER1控制条形LED  3.32 10s的秒表  3.33 用计数器中断实现100以内的按键计数  3.34 10 000s以内的计时程序  3.35 定时器控制数码管动态显示  3.36 8×8 LED点阵屏显示数字  3.37 按键控制8×8 LED点阵屏显示图形  3.38 用定时器设计的门铃  3.39 演奏音阶  3.40 按键控制定时器选播多段音乐  3.41 定时器控制交通指示灯  3.42 报警器与旋转灯  3.43 串行数据转换为并行数据  3.44 并行数据转换为串行数据  3.45 甲机通过串口控制乙机LED闪烁  3.46 单片机之间双向通信  3.47 单片机向主机发送字符串  3.48 单片机与PC串口通信仿真

2010-07-13

基于Altera FPGA/CPLD的电子系统设计及工程实践 源代码

基于Altera FPGA/CPLD的电子系统设计及工程实践 第7,10,11源代码

2010-06-26

基于Altera FPGA/CPLD的电子系统设计及工程实践——源代码

基于Altera FPGA/CPLD的电子系统设计及工程实践 第4,5,6章源代码

2010-06-26

基于Altera FPGA/CPLD的电子系统设计及工程实践——源代码

基于Altera FPGA/CPLD的电子系统设计及工程实践——源代码第3章。其他几章都有

2010-06-26

物理学报latex模板

物理学报latex模板。有些地方不太好,需要自己在用的过程中做适当修改,这也是个学习的过程。

2009-12-07

基于FPGA的PCM数字解码系统的设计

西电硕士毕业论文。详细叙述PCM的解码系统的实现。

2009-10-29

格式化工厂part2

可用于各种格式的转换。请先下载part1.

2009-10-06

(毕业论文)OFDM 通信系统基带数据处理部分的FPGA实现

这是做的一个关于通信的OFDM数据处理的硕士毕业论文。

2009-09-17

verilog 除法器

verilog 除法器。已经调试。写的不错

2009-08-25

Quantum dissipative systems

《量子耗散系统》第一部分。必须有第二部分才能解压。

2009-08-25

FPGA VHDL乘法器

用verilog 还是VHDL我也忘了 ,已经调试。好用!!高手不必在乎谁什么语言写的吧。

2009-06-16

朱雪龙《应用信息论基础》习题解答

这是个研究生课本应用信息论基础书的习题解答,PDF版 的。有公式比较清晰。

2009-06-15

MATLAB6x符号运算及其应用;刘宏友彭锋;机械工业出版社

是关于matlab符号运算的一个教程,包括大量的例子讲解!

2009-06-15

Maple 8.0 起步教程

关于maple的起步教程,很详细。里面包括例子讲解!

2009-06-15

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除