自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(34)
  • 收藏
  • 关注

原创 sof文件转化成jic文件

2021-04-24 16:11:36 534

转载 Win10:该文件没有与之关联的应用来执行该操作......

参考了:https://zhidao.baidu.com/question/2076100681854702028.html我本来想换一下桌面壁纸,结果一打开显示设置就弹出下面的错误提示框:该文件没有与之关联的应用来执行该操作......最方便的解决办法:步骤一:在搜索框中输入:regedit 或者直接输入注册表编辑器步骤二:找到HKEY_CURRENT_USER\Software\Classes\ms-settings,重命名ms-settings,可任意命名,(我修改成了ms..

2020-05-27 10:41:29 2726 1

原创 Git学习之分支

目录分支创建并切换到该分支遇到冲突时的分支合并分支管理分支开发工作流长期分支特性分支远程分支推送跟踪分支拉取删除远程分支变基变基的基本操作变基例子变基的风险分支git branch test #创建一个test分支git checkout test #切换到test分支你可以简单地使用 git...

2020-05-01 12:54:20 379

原创 Git学习之基础

1.Git的三种状态1.1 已提交(committed)已提交表示数据已安全地保存到本地数据库中1.2已修改(modified)已修改表示修改了文件,但还没有保存到数据库中1.3已暂存(staged)已暂存表示对一个已修改文件地当前版本做了标记,使之包含在下次提交地快照中git status #文件状态查询git status -s或者 git status...

2020-05-01 07:49:23 330

原创 WORD2016中标题紧贴页眉

本来一级标题设置的段前段后都是空两行,但实际标题前并没有空2行,和 页眉挨得很近,如下图所示解决办法:把标题放到上一页,然后将光标定位到标题开始位置,如下图在菜单栏找到: 布局 --> 分隔符 --> 下一页,这时就会新增一页,标题也进入下一页了,此时再将标题设置成一级标题即可,效果如下右图所示。...

2019-12-26 11:45:54 4840 7

原创 AD输出Gerber文件步骤

1.转Gerber File1.1 点击File--Fabrication outputs--Gerber Files1.2弹出菜单栏选以下参数(注意要选2:5格式、这样转换的文件的精度比较高)1.3选择要转换的层及参数,点击Layers菜单在Plot layers栏选择used on在Mirror layers栏选All off1.4设置分孔图层参数,点...

2019-12-06 11:17:46 7429

原创 make:arm-linux-gnueabihf-gcc: Command not found

编译嵌入式Linux系统内核编译时,输入make指令后,系统出现make:arm-linux-gnueabihf-gcc: Command not found这样的错误。可能的原因:在root权限下,环境变量中没有添加arm-linux-gnueabihf-gcc所在的路径。解决方法:在root用户下,进入/root目录下,用gedit ./bashrc打开.bashrc文件,在文件末...

2019-12-03 13:36:01 19201

原创 两个很长很长的数(长度在200以内)的两个小数求和

输入两个小数,要求只不能使用除操作字符串以外的函数,结果的小数部分不包含无用的0,如果小数点后全是零,只输出整数部分例如:输入125.39 263.71输出:389.1#include<iostream>#include<string>using namespace std;//计算小数部分int calculate(string x1,string...

2019-08-08 21:41:33 592

原创 C++笔试题——只使用0与数组中其它数交换进行排序

题目:一个长度为n的数组,乱序存放着[0, n-1],现在只允许0与其它数交换,将数组排序从小到大排列的解题思路:将0依次从下标1移至下标n-1的位置,在移动过程中,如果当前位置i上的数已经是i了就直接进行下一步,若不是,就将0所在的位置替换成与下标相等的数,最后,判断位置0上的数字是不是0,如果不是,就将最后一个位置上的数字和0交换从大到小排列的思路:将0依次从下标0移至下标n-2的位置...

2019-08-02 14:48:21 700

原创 C++笔试题——扑克牌

扑克牌游戏大家应该都比较熟悉了,一副牌由54张组成,含3~A,2各4张,小王1张,大王1张。牌面从小到大用如下字符和字符串表示(其中,小写joker表示小王,大写JOKER表示大王):)3 4 5 6 7 8 9 10 J Q K A 2 joker JOKER输入两手牌,两手牌之间用“ - ”连接,每手牌的每张牌以空格分隔,“ - ”两边没有空格,如:4 4 4 4 - joker JOK...

2019-08-02 13:47:31 1334

转载 C++中四种类型转换和智能指针

一、C++中四种类型转换是:static_cast, dynamic_cast, const_cast, reinterpret_cast1、const_cast用于将const变量转为非const2、static_cast用于各种隐式转换,比如非const转const,void*转指针等, static_cast能用于多态向上转化,如果向下转能成功但是不安全,结果未知;3、d...

2019-04-29 12:23:12 2732 1

翻译 FPGA实现RS232通信协议

经测试,该代码是可行的(可以用串口助手检验代码的正确性)/************************RS232顶层文件源码***********************************/module RS232_top( input clk_50M, input rst_n, input RS232_rxd, output RS232_txd);//fuwe...

2019-04-19 15:13:47 2983 6

原创 电路中的一些经典组合电路

1、极性电容与非极性电容并联组合电路这是电源“去耦”电容的经典组合。理想的电源应该提供稳定的直流电压,故去耦(decouple)的对象是电源网络中的噪声。在电源网络上并电容到地是解决这个问题最简单的办法。 在电路中,大容量的极性电容一般是电解电容,小容量的无极性电容会是瓷片电容之类的 实际电容在电路中的阻抗应该是随着频率的增大而减小,但在实际电容电路中,会有引脚和自身拿材料特性带来的等效串...

2019-04-04 21:44:02 2597

翻译 git版本库管理常用的命令

1、git init 将该目录作为仓库文件夹,执行后会生成一个.git文件夹,这里面的东西不要随意改动2、git add <filename> 添加文件到暂存区3、git commit -m "mmessage" 将缓存中的文件提交到git4、git reset HEAD <file>可以把暂存区的修改撤销掉(unstage),重新放回工作区5...

2019-04-03 21:04:17 310

翻译 OSI模型各层介绍及其它

1、物理层---------看得见,摸得着的:网线、光纤、光猫、HUB(集线器,就是早期的交换机)局域网通信和共享上网半双工-------要么上传,要么下载,同一时间只能做一件事全双工--------可以同时上下载由于HUB是单双工即半双工所有数据进入到HUB时,就开始泛洪,浪费带宽,安全性低,数据会发安生冲突,造成数据丢失,即使重传也会浪费带宽。2、数据链路层--------...

2019-04-01 20:34:43 2246

翻译 浮点数加(减)法、乘法、除法运算

IEEE754标准规定了3种浮点数格式:单精度、双精度与扩展精度IEEE754标准从逻辑上用三元组{S,E,M}表示一个数N,对于双精度而言,其编码格式为1位符号位,11位阶码和52位尾数N = (-1)^S x 2^(E-1023) x (1.M)1、浮点数的加减法运算加减法的本质相同,减法可以通过对减数的符号位取反变为加法。浮点数加法器一般运算规则是:a.指数相减:将两个指...

2019-03-25 19:31:46 5321

原创 CRC16循环校验及相应的C++实现

最近,在做一个crc循环校验,上位机和下位机的通讯数据中有double型,一时不知道怎么才能将其转化成二进制进行传输,在网上搜了很多博客,其中有好几篇都讲了crc16循环校验,根据他们的讲解以及一个crc在线校验工具(http://www.ip33.com/crc.html),想到一个主意。此处以crc16/modbus为例,生成多项式的简记形式为:8005,二进制记成:1000 0000 00...

2019-03-22 17:05:42 3078 1

原创 AD绘制电路原理图到PCB

凡亿PCB出版的stm32PCB绘制视频链接:https://pan.baidu.com/s/17gjCkmBqRZpTaR6-U_9M7w提取码:bhal布线优先次序要求a) 关键信号线优先:电源、摸拟小信号、高速信号、时钟信号和同步信号等关键信号优先。b) 布线密度优先原则:从单板上连接关系最复杂的器件着手布线。从单板上连线最密集的区域开始布线。c) 关键信号处理注意事项:尽量...

2019-03-16 21:14:57 11436 1

原创 电感电容和电路定律

1.电感、电容电感不消耗电能,电源对电感所作的功都转换为磁能,储存于电感电流的磁场中。电容元件也是储能元件,它能将外部输入的电能储存在它的电场中电容的单位是F,这个单位太大,一般用微法和皮法作为电容的单位,1uF = 10^(-6)F,1pF = 10^(-12)F一个理想电压电源有一定的电压,其中的电流大小有赖于该电压源两端所连接的电路;一个理想的电流电源中有一定的电流,其两端...

2019-03-11 11:22:49 3631

转载 AD18的常用操作及快捷键

本篇转自https://blog.csdn.net/Britripe/article/details/83855270#commentsedit目录Altium Designer(AD18)常用操作和快捷方式简单操作分层设计原理图库PCB库集成库PCB绘制覆铜 Altium Designer(AD18)常用操作和快捷方式 简单操作...

2019-02-27 13:46:41 22352

转载 编码的发展史(ASCII->Unicode->UTF8)

原网址:https://blog.csdn.net/dk_0520/article/details/70157426(ANSI、GBK、GB2312、UTF-8、GB18030和 UNICODE)编码一直是让新手头疼的问题,特别是 GBK、GB2312、UTF-8 这三个比较常见的网页编码的区别,更是让许多新手晕头转向,怎么解释也解释不清楚。但是编码又是那么重要,特别在网页这一块。如果你打...

2019-02-20 11:23:59 596

原创 numpy中矩阵和向量相关运算

求矩阵的逆需要先导入numpy.linalg,用linalg的inv函数来求逆。 矩阵求逆的条件是矩阵的行数和列数相同。1、矩阵点乘:import numpy as npfrom numpy import linalg as lga = np.matrix('1 2 7; 3 4 8; 5 6 9')b = np.matrix('1 0 0; 0 -1 0; 0 0 1...

2019-01-22 17:21:57 1031

原创 Anconada中安装第三方库matplotlib

添加清华镜像,因为这个安装会快些。步骤如下(也可参考这篇博客):conda config --add channels https://mirrors.tuna.tsinghua.edu.cn/anaconda/pkgs/free/conda config --set show_channel_urls yes如果在Windows下的cmd中直接使用conda install matp...

2019-01-13 11:11:18 2364

原创 下载FPGA程序

烧录FPGA程序步骤:一、从开始中打开软件二、硬件串口选择点击左边鸿方框,在弹出的窗口做如下选择,选完之后直接点击close三、选择FPGA型号1.点击自动检测按钮2.选择型号后点击ok四、添加jic文件完成第三部之后会弹出如下界面,按图中步骤进行操作选择jic文件 à open先打勾,再点击start然后就能看到下传的进...

2019-01-07 21:11:55 5594

翻译 FPGA中的HPS

使用轻量级HPS-to-FPGA桥接器连接需要由HPS控制的IP(轻量级HPS到FPGA桥接器允许HPS中的主设备访问SoC器件的FPGA部分中的内存映射控制的从端口。 通常,只有HPS内部的MPU访问此桥接器才能执行对FPGA中外设的控制和状态寄存器访问。)不要将轻量级HPS-to-FPGA桥用于FPGA存储器。 而是将HPS-to-FPGA桥用于存储器。HPS-to-FPGA桥接器旨在...

2019-01-07 17:32:10 4267

翻译 Altium Designer安装包及安装与破解步骤(初学)

下载安装包:链接:https://pan.baidu.com/s/1yidIbJVH3P7OtbECN3GVVA 提取码:2q97 安装步骤参考http://www.mr-wu.cn/altium-designer-18-ad18-full-crack-free-download绘制电路图流程:设计电路图 --&gt; 导入元件 --&gt; 元件布局 --&gt; 布线 --&...

2019-01-07 17:30:41 14948

翻译 二维坐标系中的向量旋转公式

 1、在二维坐标系中,一个向量可以使用三角函数来表示,左图中的向量用三角函数表示为:x0 = |R| * cosAy0 = |R| * sinA2、右图是将左图中向量逆时针旋转B之后得到的向量,它的向量可表示为:x1 = |R| * cos(A + B) = |R| * cosA * cosB - |R|* sinA * sinBy1 = |R| * sin(A + B) ...

2019-01-03 17:31:34 26287

原创 quartus中进行仿真时出错,窗口没有波形图的几种可能

在quartus中写完代码,为了验证我们写的是否正确,一般都需要编写test bench脚本进行仿真,但是由于我的粗心,老是出现窗口啥也没有的情况,大概总结了有以下几种情况。1、我们在test bench中例化的模块没有设置成顶层文件2、例化模块时,忘记给这个模块取个名字3、test bench中没有写时间 `timescale 1ns/1ns4、如果编译通过了,仿真时遇到Erro...

2018-12-16 14:29:03 34349 5

原创 逐点比较法直线和圆弧插补算法及实现

一年前就用逐点比较法做过直线和圆弧的插补,当时没做笔记,现在忘光了,这次好好的整理了一番。:)如果你看到了这里,相信你已经对逐点比较法有所了解了,缺的是整个插补过程中需要用到的公式而已。补充一点:# 当求圆弧的正逆时,可以根据圆弧上的三个点与圆心构成的三个向量的行列式的正负来判断,# 如果行列式的值大于0,是逆时针,小于零,是顺时针,等于零,则在一条直线上# 这个a是圆弧的起点和...

2018-12-13 17:57:44 29611

原创 C++中的全局变量声明和定义

1.全局变量全局变量在整个源文件的作用域都是有效的,只需要在一个源文件中定义全局变量,在其他不包含全局变量定义的源文件中用extern关键字再次声明这个全局变量即可。也可以在一个源文件中定义这个全局变量,在头文件中用extern关键字再次声明这个全局变量,如果其它源文件要用到这个全局变量,只需要包含这个头文件就可以直接使用了。例:我在class1中定义一个全局变量数组a[20],在cl...

2018-12-07 22:00:23 38140

原创 Python学习(1)

 操作系统操作系统(Operating System,简称OS)是管理和控制计算机硬件与软件资源的计算机程序,是直接运行在“裸机”上的最基本的系统软件,任何其他软件都必须在操作系统的支持下才能运行。 操作系统是用户和计算机的接口,同时也是计算机硬件和其他软件的接口。操作系统需要处理如管理与配置内存、决定系统资源供需的优先次序、控制输入与输出设备、操作网络与管理文件系统等基本事务。让计算机系...

2018-11-25 22:55:28 86

翻译 FPGA与Robot(看论文的总结)

《基于的三轴并联型机器人控制的实现》论文框架:1.论文研究背景及研究意义;2.坐标系及正逆解;3.机器人控制系统的总体方案设计;4.系统的硬件设计;5.FPGA逻辑设计,主要实现实时运算;6.系统的测试并对结果进行了分析在使用FPGA进行机器人空间位置反解运算时,计算公式较复杂,包括三角函数运算、开方运算、乘除法运算等。这篇论文针对其计算公式复杂的特点,提出了一种基于的全流水线设计的实...

2018-10-28 19:03:32 1149 1

原创 FPGA动态扫描数码管

功能:用两个数码管显示0-99的数,每隔一秒加1。由于数码管的段选段是连在一起的,要想两个数码管显示不一样的值,就必须动态地扫描数码管。因为人眼地时间分辨率是20ms,只要扫描数码管地的周期小于20ms,就可以使用残影让数码管显示数值,给人的感觉就是数码管同时显示了两个数字。使用到的模块:1.查找表,将数码管要显示的数值翻译成数码管的段选信号,从低位到告位分别对应着hgfedcba2.分频...

2018-10-28 17:56:20 2554 1

原创 FPGA学习笔记

FPGA中串行配置芯片(如EPCS128) 既要起到存储 FPGA启动配置数据的作用,又要担负存储 NIOS2处理器程序的作用。因此,EPCS控制器的作用有两个:一是用来帮助 EDS工具把软件程序下载到EPCS4 芯片中,二是在 FPGA配置完成后,引导EPCS4 中的程序到 SDRAM 中进行运行。 时序设计四部曲:即时序分析、时序约束、时序报告、时序收敛。 分析时,要清楚与系统...

2018-10-26 15:39:13 357

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除