自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(50)
  • 收藏
  • 关注

原创 嵌入式Linux(树莓派)环境设置

嵌入式Linux(树莓派)环境设置

2022-06-19 20:51:08 100 1

原创 树莓派初步使用

树莓派初步使用

2022-06-19 20:37:16 147

原创 FPGA -VGA显示

FPGA-VAG显示

2022-06-13 23:33:03 281

原创 STM32F103C8移植RT_Thread

文章目录一、介绍RT-Thread特点和优势二、在CubeMx上创建项目1.CubeMX 安装Nano pack2.创建项目3.在keil中安装 RT-Thread三、代码修改一、介绍RT-Thread特点和优势1.RT-Thread 的主打特性是 “小而美的物联网操作系统”。所谓“小”体现在 RT-Thread 的体积小,最小资源占用 1.2KB RAM 和 2.5KB flash。RT-Thread 可伸缩、易裁剪的特性,帮助用户在需要一个适用的操作系统的时候,轻松地进行裁减,适应到需要的场景,不

2022-01-18 14:24:03 3715 1

原创 springboot+netty实现网络聊天

文章目录springboot+netty实现网络聊天1.创建项目二、示例代码2.1这个不需要引入了,在pom.xml文件里添加依赖,下面是pom.xml文件,自己添加依赖**2.2创建几个Java class类2.NIO示例3.Nettyspringboot+netty实现网络聊天1.创建项目选择spring initialzr,点击next*设置好项目名字和所使用的Java版本,点击next二、示例代码2.1这个不需要引入了,在pom.xml文件里添加依赖,下面是pom.xml文件,自己添加

2022-01-17 21:29:43 97

原创 用卷积神经网络实现,做笑脸、非笑脸等表情识别

一、用卷积神经网络实现,做笑脸、非笑脸等表情识别1.1 研究背景面部表情识别 (Facial Expression Recognition )在日常工作和生活中,人们情感的表达方式主要有:语言、声音、肢体行为(如手势)、以及面部表情等。在这些行为方式中,面部表情所携带的表达人类内心情感活动的信息最为丰富,据研究表明,人类的面部表情所携带的内心活动的信息在所有的上述的形式中比例最高,大约占比55%。人类的面部表情变化可以传达出其内心的情绪变化,表情是人类内心世界的真实写照。上世纪70年代,美国著名心理

2022-01-13 19:53:53 1596

原创 基于Java+Mysql 做一个简单的学生信息查询web系统

实验内容:基于Java+Mysql 做一个简单的学生信息查询web系统。一、编译工具:J IDEA二、Java Web1.Java Web,用Java技术来解决相关web互联网领域的技术总和。web包括:web服务器和web客户端两部分。Java在客户端的应用有java applet,不过使用得很少,Java在服务器端的应用非常的丰富,比如Servlet,JSP和第三方框架等等。Java技术对Web领域的发展注入了强大的动力。2. B/S系统B/S结构(Browser/Server,浏览器/服

2022-01-13 19:23:44 1259

原创 STM32F103+W5500网络通信

文章目录一、W5500模块1.W5500以太网模块介绍2.模块排针功能表二、 modbus协议1.modbus协议原理2.Modbus 通讯方式三、代码实现1.初始化从机网络2.响应函数3.main函数循环等待连接四、结果1.modbus poll建立连接一、W5500模块1.W5500以太网模块介绍(1)D-W5500 EVB以太网模块是一款基于WIZnet W5500芯片的以太网模块,且性价比高的以太网模块。W5500是一款全硬件TCP/IP嵌入式以太网控制器,为嵌入式系统提供了更加建议的互联网连

2021-12-28 20:00:49 340

原创 AltiumDesigner和Clion工具学习

文章目录一.使用Altium Designer软件完成STM32+W5500的系统电路原理图设计1.安装Altium Designer2.绘制stm32的最小系统的电路原理图(使用现成的元件库)4.STM32+W5500的系统电路原理图设计二.使用Clion完成STM32F103点亮LED一.使用Altium Designer软件完成STM32+W5500的系统电路原理图设计1.安装Altium Designer下载Altium Designerhttps://blog.csdn.net/weixi

2021-12-25 20:47:41 57

原创 微笑识别(HOG+SVM+opencv+python)

文章目录一、实验介绍二、代码实现一、实验介绍1.利用所提供的人脸微笑数据集(genki4k),训练一个微笑/非微笑识别模型,完成对人脸图片的微笑与非的识别,输出训练(train)和测试(test)的精度值(F1-score和ROC);2.然后保存这个模型,将其应用到人脸实时采集视频的微笑检测中,当检测到微笑人脸,视频窗口输出“smile”,否则输出“non smile”;List item3.当识别结果准确时,按“s”键,保存10张对应分类的图片到本地目录。人脸表情特征的选择不限,可以是HoG、SI

2021-12-24 21:17:40 109

原创 数字图像与机器视觉基础补充(2)

文章目录一、彩色图像文件转换为灰度文件1.使用opencv2.不使用opencv二、将彩色图像转化为HSV、HSI 格式1.彩色图像转化为HSV格式2.彩色图像转化为HSI格式三、将车牌数字分割为单个的字符图片四、总结参考链接一、彩色图像文件转换为灰度文件1.使用opencv2.不使用opencv二、将彩色图像转化为HSV、HSI 格式1.彩色图像转化为HSV格式2.彩色图像转化为HSI格式三、将车牌数字分割为单个的字符图片一、彩色图像文件转换为灰度文件1.使用opencv通过cvtColor库将其转

2021-12-24 20:04:06 79

原创 selenium自动化测试和爬取名言和京东商品信息

文章目录一、selenium1.简介2 .下载二、自动化测试三、爬取名言四、爬取京东商品信息一、selenium1.简介Selenium是一个用于Web应用程序测试的工具。Selenium测试直接运行在浏览器中,就像真正的用户在操作一样。支持的浏览器包括IE(7, 8, 9, 10, 11),Mozilla Firefox,Safari,Google Chrome,Opera,Edge等。这个工具的主要功能包括:测试与浏览器的兼容性——测试应用程序看是否能够很好得工作在不同浏览器和操作系统之上。测试系

2021-12-14 21:04:35 93

原创 基于IO、NIO和Netty的TCP聊天程序及springboot+netty实现网络聊天

文章目录一、IO、NIO、Netty的相关介绍1. IO和NIO的对比二、示例代码1.IO示例2.NIO示例3.Netty三、springboot+netty实现网络聊天1.创建项目2.代码模块一、IO、NIO、Netty的相关介绍1. IO和NIO的对比1.1 传统IO阻塞IO网络模型:服务器启动后会进入阻塞状态,等待client连接,每一个client端连接上服务器后,服务器会为每一个客户端起一个线程来处理客户端的需求。服务器的accept()方法、服务器新起的thread中,Socket

2021-12-14 21:03:50 140

原创 数字图像与机器视觉基础补充

文章目录一、位图原理1.位图简介2.BMP位图文件3.BMP文件结构二、图像处理1.原图2.比较不同位深度BMP文件三、用奇异只分解对图片进行降维处理四、采用图像的开闭运算,检测出2个样本图像中硬币、细胞的个数五、采用图像梯度、开闭、轮廓运算等,对图片中的条形码进行定位提取;再调用条码库获得条码字符。一、位图原理1.位图简介计算机能以位图和矢量图格式显示图像。1.1 位图(Bitmap)图像又称点阵图或光栅图,它使用我们称为像素(象素,Pixel)的一格一格的小点来描述图像。计算机屏幕其实就是一张

2021-12-09 21:46:58 89

原创 使用示波器和keil仿真逻辑仪分析串口波形

这里写目录标题一、keil仿真使用二、使用真实逻辑仪器查看三、总结一、keil仿真使用打开一个项目,点击仿真,进行仿真调试。点击系统分析窗口的第一个逻辑分析添加引脚,输入内容如图所示点击运行,这边的波形自然就会出来,可以看到每隔一秒左右发送一次信息看一下代码里面延时可以看到上面逻辑分析界面的时间间隔与我们代码里基本一致二、使用真实逻辑仪器查看1.这个硬件也是通过usb接到电脑上的,现在打开软件,不连接硬件的时候显示是开始仿真,点击那个向上的箭头可以设置仿真的选项和采集波长的时间

2021-12-03 23:13:33 168

原创 STM32日历读取,设置和输出

这里写目录标题一、RTC介绍1.RTC实时时钟特征与原理2.RTC特征3.RTC组成二、HAL选项1.创建STM32F103C8工程三、代码编写四、总结五、参考一、RTC介绍1.RTC实时时钟特征与原理(1)实时时钟的缩写是RTC(Real_Time Clock)。RTC 是集成电路,通常称为时钟芯片。(2)-实时时钟是一个独立的定时器。RTC模块拥有一组连续计数的计数器,在相应软件配置下,可提供时钟日历的功能。修改计数器的值可以重新设置系统当前的时间和日期。(3)RTC模块和时钟配置系统(RCC

2021-12-03 21:41:24 90

原创 STM32F103C8T6移植uCOS基于HAL库

这里写目录标题一.使用CubeMX建立STM32F103C8T6HAL库二.准备uCOSIII源码三.移植前准备二级目录三级目录一.使用CubeMX建立STM32F103C8T6HAL库一.使用CubeMX建立STM32F103C8T6HAL库新建一个STM32F103C8T6HAL项目二.准备uCOSIII源码三.移植前准备二级目录三级目录...

2021-12-03 20:49:29 165

原创 【C#】VS编写简单的网游客户端

文章目录一、测试连接服务器二、设计客户端1.新建项目2.设计界面3.代码实现一、测试连接服务器1.打开cmd,输入ping 10.1.230.742.输入telnet,进入telnet界面3.输入set localecho,打开本地回显:4.连接服务器,输入命令open 10.1.230.74 3900二、设计客户端1.新建项目2.设计界面3.代码实现(1)实现连接到服务器并接受数据双击进入游戏的Button代码:private void button1_Click(o

2021-11-27 21:29:10 4246

原创 OLED滚动显示

文章目录一0.96寸OLED滚动显示数据1. 滚动方式二、参考链接一0.96寸OLED滚动显示数据1. 滚动方式水平左右移OLED_WR_Byte(0x2E,OLED_CMD); //关闭滚动OLED_WR_Byte(0x26,OLED_CMD); //水平向左或者右滚动 26/27OLED_WR_Byte(0x00,OLED_CMD); //虚拟字节OLED_WR_Byte(0x00,OLED_CMD); //起始页 0OLED_WR

2021-11-27 17:22:44 168

原创 STM32通过I2C接口实现温湿度(AHT20)的采集与OLED显示及显示姓名学号

文章目录一、了解I2C总线协议二、实现AHT20采集程序三、温湿度采集——OLED显示一、了解I2C总线协议1.什么是I2C协议I2C 通讯协议(Inter-Integrated Circuit)是由 Phiilps 公司开发的,由于它引脚少,硬件实现简单,可扩展性强,不需要 USART、CAN 等通讯协议的外部收发设备,现在被广泛地使用在系统内多个集成电路(IC)间的通讯。2.I2C 协议的物理层和协议层物理层I2C是一个支持设备的总线。可连接多个 I2C 通讯设备,支持多个通讯主机及多个通讯

2021-11-27 16:42:55 136

原创 STM32通过I2C接口实现温湿度(AHT20)的采集

文章目录一、了解I2C总线协议二、实现AHT20采集程序一、了解I2C总线协议1.什么是I2C协议I2C 通讯协议(Inter-Integrated Circuit)是由 Phiilps 公司开发的,由于它引脚少,硬件实现简单,可扩展性强,不需要 USART、CAN 等通讯协议的外部收发设备,现在被广泛地使用在系统内多个集成电路(IC)间的通讯。2.I2C 协议的物理层和协议层物理层I2C是一个支持设备的总线。可连接多个 I2C 通讯设备,支持多个通讯主机及多个通讯从机。对于I2C 总线,只使用

2021-11-26 21:45:31 191

原创 C#使用TCP/UDP协议通信并用Wireshark抓包分析数据

文章目录一、控制台程序使用UDP通信1.创建新项目2.编写代码二、Form窗口程序使用 TCP 通信1.创建新项目2.设计图形界面3.编写代码4.编译客户端和服务器端三、TCP编程-端口扫描器1.创建新项目2.设置界面3.编写代码一、控制台程序使用UDP通信1.创建新项目(1)打开visual studio 2019,创建新项目(2)选择控制台应用(.net.Framework)(3)编辑项目名称,选择保存位置。(4)创建好项目如下图所示2.编写代码在控制台上简单输出:在 Main

2021-11-24 22:03:17 257

原创 爬虫爬取学习通知信息

文章目录一、爬虫简介1 .介绍2.爬虫工作过程二、爬取南阳理工学院ACM题目网站三、爬取学校官网的信息通知四、总结五、参考链接一、爬虫简介1 .介绍(1)网络爬虫英文名叫Web Crawler或Web Spider。它是一种自动浏览网页并采集所需要信息的程序。(2)爬虫从初始网页的URL开始, 获取初始网页上的URL,在抓取网页的过程中,不断从当前页面抽取新的url放入队列。直到满足系统给定的停止条件才停止。(3)可以为搜素引擎从互联网中下载网页数据,是搜素引擎的重要组成部分。2.爬虫工作过程

2021-11-20 19:21:45 312

原创 Wireshark抓取疯狂聊天数据包

文章目录一、Wireshark抓取疯狂聊天数据包1.准备工作2.开始聊天3.wireshark进行抓包二、总结三、参考链接一、Wireshark抓取疯狂聊天数据包1.准备工作1.打开疯狂聊天2.关闭防火墙以及其它不需要的虚拟网络和以太网2.开始聊天(1)连接同一个WiFi或热点,并且输入相同的房间号即可聊天(2)发送信息在这里插入图片描述3.wireshark进行抓包通过分析代码可以得知程序通过udp向255.255.255.255发送的信息抓取数字信息数据包(1)发送数字1

2021-11-20 16:52:32 98

原创 libsvm获得决策函数模型

这里写目录标题一、libsvm的安装二、基本介绍三、构建数据集并获得决策模型一、libsvm的安装libsvm可以选择主流方式,选择进入官网下载即可,就算不用这个包也需要下载,需要使用一个txt文件构建数据二、基本介绍代码from libsvm.python.svmutil import * y, x = [1,-1], [{1:1, 2:1}, {1:-1,2:-1}] # 输入的数据options = '-t 0 -c 4 -b 1'

2021-11-19 00:23:25 63

原创 SVM向量机

SVM向量机1:SVM向量机1-1:向量机简述2:鸢尾花数据集2-1:数据基础处理2-2:多项式分类函数2-3:高斯核方式3:月亮数据集3-1:多项式分类函数3-2:高斯核方式1:SVM向量机1-1:向量机简述向量机( Support Vector Machine )简称 SVM ,通俗来讲,SVM 是一种二类分类模型,其基本模型定义为特征空间上的间隔最大的线性分类器(线性分类器也可以叫做感知机,这里的机表示的是一种算法),即支持向量机的学习策略便是间隔最大化,最终可转化为一个凸二次规划问题的求解。

2021-11-12 15:30:31 1593

原创 基于dlib的人脸采集

基于dlib的人脸采集1:原理安装dlib2:代码2-1:标点2-2:戴墨镜2-3:代码3:效果3-1:特征点3-2:戴墨镜4:总结基于dlib的人脸采集1:原理安装dlib2:代码2-1:标点2-2:戴墨镜2-3:代码3:效果3-1:特征点3-2:戴墨镜4:总结1:原理安装dlib1、首先查看自己的python是什么版本的使用命令python -V。2、找到该版本对应的dlib版本,进行安装,我的python是3.8版本的.2:代码2-1:标点detector = dlib.get_fr

2021-11-12 14:59:53 2951

原创 两个串口之间传输文件及Ubuntu和OpenCv学习汉字点阵

文章目录一、串口连接二、传输文件三、汉字点阵字库原理1.汉字编码2 点阵字库结构3. 汉字点阵获取四、汉字显示1.项目五、参考文献一、串口连接准备两个USB TO TTL和若干杜邦线,将两个USB TO TTL的RX、TX的引脚交叉连接,并将两个USB接口接上一台笔记本电脑(模拟两台计算机之间的串口传输)。二、传输文件利用可以传输文件的串口调试助手(在这里我采用的是SSCOM V5.13.1),打开两个窗口,每个窗口打开一个串口(两个USB TO TTL连接产生的串口),这里可以看见串口COM4和C

2021-11-10 22:54:21 93

原创 STM32中断,使LED灯亮与灭和串口中断以及STM32采用串口DMA方式,用115200bps或更高速率向上位机连续发送数据。

文章目录一、中断1.数据传输方式2.中断过程3.中断的作用:4.中断优先级:二、HAL库中断点亮LED灯三、HAL库中断串口通信四、DMA1.概念2.STM32F103C8T6以DMA方式实现连续发送五、总结六、参考链接一、中断1.数据传输方式(1)无条件传输:处理器不必了解外部设备状态,直接进行数据传输,用于指示灯和按键等简单设备(2)查询方式:传输前,一方先查询另一方的状态,若已经准备好就传输,否则继续查询。(3)中断方式:乙方通过申请中断的方式与另一方进行数据传输,收发双方可以并行工作。(

2021-11-08 22:14:16 272

原创 TCP、HTTP网络协议和采用wireshark、Fiddler抓包软件,抓取相应的上网数据包

一、TCP/IP协议–HTTPTCP/IP传输协议,即传输控制/网络协议,也叫作网络通讯协议。它是在网络的使用中的最基本的通信协议。TCP/IP传输协议对互联网中各部分进行通信的标准和方法进行了规定。并且,TCP/IP传输协议是保证网络数据信息及时、完整传输的两个重要的协议。TCP/IP传输协议是严格来说是一个四层的体系结构,应用层、传输层、网络层和数据链路层都包含其中。TCP/IP协议是Internet最基本的协议,其中应用层的主要协议有Telnet、FTP、SMTP等,是用来接收来自传输层的数据或者

2021-11-05 21:56:37 181

原创 线性判别准则和线性分类算法

文章目录一、线性判别分析简介1.简介2.编程生成模拟数据集,进行LDA算法练习3.用sklearn库进行线性判别分析二、SVM1. 简介2.SVM数据集进行可视化分类三、总结四、参考链接一、线性判别分析简介1.简介线性判别分析(Linear Discriminant Analysis,简称LDA)是一种经典的有监督数据降维方法。LDA的主要思想是将一个高维空间中的数据投影到一个较低维的空间中,且投影后要保证各个类别的类内方差小而类间均值差别大,这意味着同一类的高维数据投影到低维空间后相同类别的聚在一

2021-11-05 18:52:52 147

原创 多元线性回归模型预测房价

文章目录一、多元线性回归模型预测房价1.基础包导入2.变量探索3.多元线性回归建模4.模型优化二、用Excel重做上面的多元线性回归,求解回归方程三、用机器学习库Sklearn库重做上面的多元线性归四、参考文献一、多元线性回归模型预测房价1.基础包导入import pandas as pdimport numpy as npimport seaborn as snsimport matplotlib.pyplot as pltdf = pd.read_csv('house_prices.cs

2021-11-01 00:09:51 1996

原创 决策树挑出好西瓜

文章目录一、决策树1.概念2. 信息熵(information entropy)3. 信息增益(information gain)4. 增益率(gain ratio)5、代码实现6.绘制决策树二、用sk-learn库对西瓜数据集,分别进行ID3、C4.5和CART的算法代码实现。1. 基于信息增益准则(I D 3 ID3ID3或C 4.5 C4.5C4.5)方法建立决策树2. 基于基尼指数(C A R T CARTCART)建立决策树三、总结四、参考链接一、决策树1.概念决策树是一种基于树结构来进行决

2021-10-31 21:50:57 560

原创 STM32的USART串口输出”hello world“和输出波形

文章目录一、一、编写代码二、结果显示三、keil下的波形四、总结五、参考链接一、一、编写代码1.创建新工程并编写代码首先创建新的工程,创建完工程后,添加 asm 汇编文件,并添加如下的代码;RCC寄存器地址映像 RCC_BASE EQU 0x40021000 RCC_CR EQU (RCC_BASE + 0x00) RCC_CFGR EQU (RCC_BASE + 0x04)

2021-10-24 21:57:08 290

原创 搭建STM32开发环境——STM32CubeMX,Keil5

一、搭建STM32的开发环境1.安装STM32CubeMX下载地址:https://www.st.com/en/development-tools/stm32cubemx.html2.安装完成后,打开STM32CubeMx,选择help–>manage embedded…安装固件库下面第一个按钮是从本地安装(已经在本地下载了对应的固件库),Install now是通过网络下载,一般不推荐这种方式。二、利用工具实现LED灯的点亮1.分析相应的原理图2.使用CubeMX生成相关代码①

2021-10-24 17:18:43 2802

原创 从JDBC到Mybatis

文章目录一、从JDBC到Mybatis的改进1.原始的JDBC连接数据库二、在idea环境下Mybatis访问mysql数据1.在idea下新建项目工程2.配置项目相关信息3.创建User实体类实现业务流程三、总结四、参考文献一、从JDBC到Mybatis的改进1.原始的JDBC连接数据库(1)代码实现:package esgdsfrg;import java.sql.*;public class Dtfgsewrf { static final String JDBC_DRIVER

2021-10-21 21:40:52 59

原创 STM32F103点亮LED灯

文章目录一、点灯1.打开时钟2. 初始化3. 设置低电平三、创建项目1. 新建项目2. 编写代码四、连接电路五、总结使用工具:stm32f103C8T6USB转串口面包板导线若干LED3个一、点灯点亮LED灯,需要用到GPIO端口。为了点亮LED灯,需要三个步骤:打开GPIO口的时钟初始化GPIO口(选择推挽输出)设置低电平1.打开时钟GPIO的地址:时钟的地址:即0x40021018,则打开三个IO口的时钟需要将三个位都置1:#define RCC_APB2ENR

2021-10-18 23:01:43 2059

原创 用gcc生成静态库和动态库和使用opencv库编写打开摄像头压缩视频

文章目录一、用gcc生成静态库和动态库1.编辑生成程序hello.h、hello.c、main.c2.将hello.c生成.o文件3.使用静态库4.动态库的使用二、a与.so库文件的生成与使用1.先创建一个作业目录,保存文件2.然后用vim文本编辑器编辑生成所需要的四个文件3.程序中使用静态库4.共享库.so文件的生成与使用5.生成动态库和静态库的程序编写及大小比较三、Linux gcc常用命令及gcc编译器背后的故事四、使用opencv库编写打开摄像头压缩视频1.安装opencv2.配置环境3.使用示例—

2021-10-17 22:29:11 153

原创 STM32的C与汇编语言混合编程

文章目录一、C语言调用汇编函数二.将原汇编语言 Init_1函数的类型改为 int Init_1(init) ,此函数功能修改为 传入一个整型数x,函数运行后返回整型数 x+100。1.C语言调用函数传递参数的方法2.ARM中寄存器用法3.实验过程三.在汇编函数中调用一个C语言写的函数四、总结五、参考链接一、C语言调用汇编函数1.创建一个项目,在项目下新建文件main.c和Fun.s。main.c:#include<stdio.h>extern void Init_1(void);

2021-10-15 15:17:19 76

原创 IDEA上传项目到github

文章目录一、idea上传项目到github一、idea上传项目到github1.首先下载git,地址:https://git-scm.com/download2.安装成功后打开git Bash,输入下列命令,设置git全局用户名和邮箱。$ git config --global user.name “Your Name”$ git config --global user.email “[email protected]”3.在idea中设置git,File–>Setting–>Ve

2021-10-12 21:29:52 64

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除