自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(8)
  • 收藏
  • 关注

原创 VHDL问题集锦

在学习VHDL语言的过程中可谓是问题摆百出,在下面整理一下出现的问题。1.变量赋值、信号赋值变量赋值用 :=,而信号赋值用 <=,但信号在定义的时候赋初值可以使用数据类型:=初值。2.if语句只能用在进程或子程序中在编程时经常会用到条件判断语句,在VHDL中if语句属于顺序语句,顺序语句只能在子程序或进程中执行3.元器件例化时一个输出端不可连接多个端口4.变量的值只在进程内部有效5.变量没有延迟,变量的赋值是立刻 的,但是信号是实体,在进程结束时赋值,所以有延迟6.std_logic_

2021-06-01 16:06:41 797

原创 EDA技术与VHDL设计

学校在大二开设EDA技术与VHDL设计课程,结课后整理一下VHDL的基本用法前言1.EDA技术EDA(Electronic Design Automation)是电子设计自动化的英文缩写。是随着集成电路和计算机技术飞速发展应运而生的一种快速、有效、高级的电子设计自动化技术。EDA工具融合了应用电子技术、计算机技术和智能化技术的最新成果,主要进行三方面的辅助设计工作:集成电路(IC)设计、电子电路设计和印制电路板(PCB)设计。在数字设计领域,EDA技术就是依靠功能强大的电子计算机和EDA软件工具,对以

2021-06-01 15:44:09 2553 1

原创 vhdl实现按键消抖

板载为EPF10K20TC144-3,开发板上有四个时钟频率,各时钟基准频率(即将其上的开关都置于0)为clk1=6000000HZ,clk2=100000HZ,clk3=1000HZ,clk4=100HZ。代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY xiaodou IS PORT(DIN:in std_logi

2021-05-19 16:00:23 3623 3

原创 vhdl实现脉冲按键电话按键显示电路

顶层文件telphone.vhdLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY telphone IS PORT(DIN1: IN STD_LOGIC_VECTOR(6 DOWNTO 0); clk3:in std_logic; CLK1,CLEAR,DIAL,RE_DIAL,delete:IN STD_LOGIC; KEYOUT:OUT STD_LOGIC; SEG71:.

2021-05-15 22:21:04 1778 4

原创 matlab实现SEIR2-D模型求解

一次数学建模校赛的经历,基于SEIR2-D模型的新冠肺炎疫情预测与防控策略评价matlab实现模型求解(这里以中国为例):function C1()clear();N = 1400000000;%总人数I = 7315; %现有感染人数R1= 350;%累计自身免疫康复人群R2 = 123;%累计治疗康复人群E= 5000; %现有无症状感染人数D = 170;%累计死亡人数S = N-I-R1-R2-D;%易感染人数%根据不同国家的防控方案进行参数估计r1 = 12;%A类人群

2021-05-07 16:07:58 573

原创 基于quartus ii的用VHDL 实现比较电路(当输入的一位8421BCD码大于4,输出为1,否则为0)

输入的一位8421BCD码在数码管上显示(十进制)代码:library ieee;use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;use ieee.STD_LOGIC_ARITH.all;entity compare isport(data: in std_logic_vector(3 downto 0); a,b,c,d,e,f,g,h: out std_logic; cout:out std_log

2021-04-21 09:09:12 2918 3

原创 基于quartus ii两个4位二进制数相减的VHDL程序(结果在数码管上用十进制显示)

程序未考虑number1<number2,即结果为负数的情况,数码管采用EPF10K20T144-3上的七段数码管(共阴),小数点一直置于低电平,即不亮状态代码:library ieee;use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;use ieee.STD_LOGIC_ARITH.all;entity tube is port(number1,number2: in std_logic_vector(3 down

2021-04-21 09:04:01 1949 1

原创 基于quartus ii求补码的VHDL程序(输入是带符号的4位二进制数)

基于quartus ii求补码的VHDL程序(输入是带符号的4位二进制数)代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.STD_LOGIC_ARITH.all;entity complement isport( a:in std_logic_vector(3 downto 0); sout:out STD_LOGIC_VECTOR(3 DOWNTO 0); clk:

2021-04-21 08:52:23 1549

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除