自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

⚡ 柠檬叶子团队

对了,如果你需要学C语言,那太好了!我正在写一套C语言趣味教学专栏! 这是一套 CSDN 总订量破千的 C/C++ 专栏的 2023 重制版C语言教程!

  • 博客(289)
  • 收藏
  • 关注

原创 【C语言趣味教程】(1) 深入浅出 HelloWorld:通过 HelloWorld 展开教学 | 头文件详解 | main 函数详解

本章是首个章节,将通过计算机最经典的示例程序 Hello World 来展开我们的教程,考虑到 C 语言历史大家应该早已屡见不鲜,所以这里我们选择介绍 Hello World 的历史和由来。然后带着大家创建项目并敲下这最经典的代码。

2023-07-04 10:17:02 11361 140

原创 【OpenAI】Python:(4) 基于 Gym-CarRacing 的自动驾驶项目 | 车辆控制功能的实现 | 开环控制 | 闭环控制 | 启停式控制 | PID 控制 | Stanley 控制器

本篇是关于多伦多大学自动驾驶专业项目的博客。GYM-Box2D CarRacing 是一种在 OpenAI Gym 平台上开发和比较强化学习算法的模拟环境。它是流行的 Box2D 物理引擎的一个版本,经过修改以支持模拟汽车在赛道上行驶的物理过程。模块化组件 (Modular Pipeline) 分为 低层次感知与场景解析、路径训练 和车辆控制,本章我们要讲解的内容是最后一个部分 —— 车辆控制 (Vehicle Control) 。

2023-06-08 10:54:32 2803 57

原创 【Python】蒙特卡洛模拟 | PRNG 伪随机数发生器 | 马特赛特旋转算法 | LCG 线性同余算法 | Python Random 模块

本篇将介绍经典的伪随机数生成算法,我们将 重点讲解 LCG(线性同余发生器) 算法与马特赛特旋转算法,在此基础上顺带介绍Python 的 random 模块。

2022-11-15 06:59:47 5592 56

原创 【C语言趣味教程】(0) 专栏介绍

这是一套C 语言趣味教学专栏,目前正在火热连载中,欢迎猛戳订阅!本专栏保证篇篇精品,继续保持本人一贯的幽默式写作风格,当然,在有趣的同时也同样会保证文章的质量,旨在能够产出"有趣的干货" !本系列教程不管是零基础还是有基础的读者都可以阅读,可以先看看目录!标题前带星号 (*) 的部分不建议初学者阅读,因为内容难免会超出当前章节的知识点,面向的是对 C 语言有一定基础或已经学过

2021-10-01 17:02:28 22359 52

原创 【边东随笔】(1) 没有独立人格的人:生的随性,死的随机。

人性瞬息万变,半年前的热点新闻,一年前的热点新闻,没人会记得的。

2024-04-17 20:57:06 157 4

原创 【OSTEP】并发:线程与多线程

本章将介绍为单个运行进程提供的新抽象 —— 线程 (thread)

2024-04-04 17:24:29 869 1

原创 【OpenGL】(2) 环境搭建:运行简单的 OpenGL 教学示例程序

我们尽可能地让大家以 最简单粗暴且无脑的方式,带大家配置好OpenGL 环境,并跑出我们第一个示例程序。

2024-03-31 02:56:00 700 2

原创 【PL理论】(3) 快速了解 F#:为什么学 F# | 环境安装 | 函数式编程语言 | dotnet new 创建 | dotnet build -o out 编译 | ./out/ 运行

为了方便下面继续展开PL 的学习,我们不得不介绍一下 F# 语言。这是微软搞得一个函数式编程语言,读 F sharp 就行,是不是很想 C#?建议再出一个 K#,这样的话就可以集齐 KFC 编程全家桶了,对了今天是疯狂星期四,可不可以 v 我 50 我要吃 KFC?

2024-03-29 00:59:33 766 3

原创 【PL理论】(1) 语法与语义:归纳的定义 | 推理规则 | 推导树 | 数学归纳法证明 (MI)

在学习编程的过程中,我们经常会听到 "语法" 和 "语义" 这两个词。它们是编程世界中至关重要的概念,对于理解和编写高质量的代码至关重要。在本博客中,我们将深入探讨这两个概念,从而帮助读者更好地理解编程语言的本质和运作方式。

2024-03-26 21:03:21 940 1

原创 【解决方案】腾讯云:对象存储创建存储桶并上传文件后访问对象 url 时文件直接触发下载的问题

解决方案:将文件的 Content-Disposition 头部的参数值设置为inline。

2024-03-07 01:25:12 666 5

原创 【OpenGL】(1) 专栏介绍:OpenGL 库 | 3D 计算机图形应用 | GPGPU 计算 | 3D 建模和 3D动画 | 渲染技术介绍

本专栏主要内容是关于 3D 计算机图形技术的学习,重点是学习与此技术相关的 3D 实时渲染 (3D real-time rendering) 技术。我们会以 "理论 + 实践" 的方式进行讲解,将重点介绍基于光栅化的 3D 渲染管线的计算结构,如 OpenGL / DirectX / Vulkan / Metal 等,并使用 OpenGL API 接口实现应用程序。

2024-03-05 23:00:36 1633 20

原创 【随笔】分享一个缓解焦虑的方法

"担心有什么吊用,要是有用那联合国也不用天天开会了,直接组一帮子吊人坐那边担心就行。兵来将挡水来土掩,陨石砸地球再讲!有问题就解决问题,担心对解决问题没有任何正面的帮助,甚至还是负面的。"

2024-02-25 14:37:15 250 4

原创 【九章斩题录】Leetcode:判定是否互为字符重排(C/C++)

看到题目中说 "重新排列后能否变成另一个字符串",等等……重新排列?

2024-02-10 20:59:42 1040 6

原创 【维生素C语言】附录:strlen 函数详解

本篇将专门为strlen 函数进行讲解,总结了模拟实现 strlen 函数的三种方法,并对其进行详细的解析。手写库函数是较为常见的面试题,希望通过本篇博客能够加深大家对 strlen 的理解。

2024-02-10 20:53:32 1121

原创 【FPGA】Verilog:奇偶校验位发生器 | 奇偶校验位校验器

奇偶校验位是用来检查数据传输过程中是否发生错误的位。奇偶校验位用于检查数据传输过程中是否发生错误。它可以通过在整个数据位上增加一个位来实现,通常在要传输的数据位较小且不太可能出错时使用。当要传输的数据量较小且出错概率较低时,就会使用奇偶校验位。

2024-02-10 19:54:02 655

原创 【FPGA】Verilog:7-Segment Display | 七段式数码管的工作原理 | 阳极型和阴极型 (Anode Type, Cathode Type) | 实现七段式显示器

七段数码管是利用多重输出功能的非常有用的元件。该元件用于字符化,如十进制、十六进制数等。适当配置 7 个LED元件,如图(a)所示,在每个端子上施加电压(logic "1"),使其发光,从而呈现字形(图b)。

2024-02-10 19:00:31 96

原创 【OS Pintos】Project1:Userprog 项目开发报告书 | 斯坦福大学操作系统课设

我将实现与文件系统相关的系统调用,这是在该项目中未实现的部分。与文件系统相关的系统调用负责管理操作系统中涉及文件创建、打开、关闭等功能的操作。其中包括创建、删除、打开、关闭、文件大小、读取、写入等系统调用。我们将在实现这些系统调用的同时,同时实现文件系统中需要的文件描述符和同步功能。

2024-01-22 17:49:44 931

原创 ⚡【C++要笑着学】(31) 映射类:map 类 | pair 类型 (value_type) | map 的插入和遍历 | map 的 operator[] | multimap 类

本章我们继续讲解 STL,讲解 STL 的 map 类。我们将详细介绍 map 类的基础概念,包括 pair 类型(value_type)的应用和插入元素的方法。随后,我们将深入研究 Map 的遍历方式以及统计元素出现次数的几种方式。最后我们再简单介绍一下不去重版本的 multimap,建议通过查看官方文档的方式辅助学习。

2023-12-24 17:43:37 2740 28

原创 【FPGA】Verilog 实践:优先级编码器 | Priority encoder

为优先级编码器构建一个逻辑电路,使得该电路适用于所有输入形式 (16种),而不仅仅是 4 到2 编码器的 4 种形式。

2023-12-23 23:59:59 986 5

原创 【FPGA】Verilog 实践:MUX 多路复用器 | Multiplexer | 实现 4 到 1 线路多路复用器

解释 4 到 1 线 MUX 的结果和仿真过程。(写出代码、真值表)

2023-12-18 22:30:34 1713 8

原创 【FPGA】Verilog:编码器 | 实现 4 到 2 编码器

解释 4 到 2 编码器的结果和仿真过程。(包括真值表和 k 映射图的创建)

2023-12-17 19:09:59 1776 9

原创 【FPGA】Verilog:解码器 | 实现 2-4 解码器

解释 2 至 4 解码器的结果和仿真过程 (包括真值表创建和 k 映射、AND 门)。

2023-12-16 18:58:41 454 4

原创 ⚡【C++要笑着学】(30) 集合类:set 类 | 元素的插入和删除 | lower_bound 接口 | upper_bound 接口 | multiset 类

上一章我们讲解了二叉搜索树,本章我们将继续讲解 STL,介绍 set 类和 multiset,对一些常用的接口进行讲解,为后续讲解红黑树数据结构做必要的铺垫。

2023-12-14 18:49:58 608 28

原创 【C语言趣味教程】(10) 分支语句 Ⅱ:switch 语句 | fall-through 效应 | default 子句 | else 特性详解 | else 匹配原则 | 悬空 else 隐患

switch 语句是一种多分支语句,常常用于 "多分支" 的情况。用于不同条件执行不同动作,每一个 case 分支都是唯一的,从上往下逐一测试直到匹配为止。

2023-12-12 23:27:39 1616 21

原创 【FPGA】Verilog:BCD 加法器的实现 | BCD 运算 | Single-level 16 bit 超前进位加法器 | 2-level 16-bit 超前进位加法器

提供关于 BCD 加法器的结果和模拟过程进行说明(Verilog源代码,输出示例,详细描述过程)。

2023-12-08 15:59:47 2646 29

原创 【C语言趣味教程】(12) 循环语句Ⅱ:for 循环 | for 循环的嵌套 | for 的省略 | 死循环 for(;;) | 左闭右开写法 | for 语句中的 break 和 continue

for 循环的关键字为 for,后面跟着括号,括号中有 3 个表达式,每个表达式用 ; 区隔开来。

2023-12-06 11:15:04 3005 26

原创 【FPGA】Verilog:二进制并行加法器 | 超前进位 | 实现 4 位二进制并行加法器和减法器 | MSI/LSI 运算电路

被加数和加数的各位能同时并行到达各位的输入端,而各位全加器的进位输入则是按照由低位向高位逐级串行传递的,各进位形成一个进位链。

2023-12-04 00:56:33 2000 26

原创 【C语言趣味教程】(11) 循环语句Ⅰ:while 循环 | 循环的类型 | 流程图基础 | 循环的定义 | 死循环 | while 循环嵌套 | break 语句 | continue 语句

我们先来思考一个富有哲学性的问题,人类的本质是什么?我们知道,人类的本质就是复读机!那复读机的本质又是什么?复读机的本质就是循环!本章的主题就是循环,我们来好好研究研究,还是和上一章一样,我们先介绍一下循环的概念,然后再展开讲解!

2023-12-02 22:02:23 9516 30

原创 【FGPA】Verilog:JK 触发器 | D 触发器 | T 触发器 | D 触发器的实现

JK 触发器是 RS 触发器和 T 触发器的组合。它有两个输入端 J 和 K,如果两个输入端都等于 1,则将当前值反转。

2023-11-28 20:28:05 4298 9

原创 【九章斩题录】Leetcode:面试题 01.03. URL化(C/C++)

URL化。编写一种方法,将字符串中的空格全部替换为%20。假定该字符串尾部有足够的空间存放新增字符,并且知道字符串的“真实”长度。(注:用Java实现的话,请使用字符数组实现,以便直接在数组上操作。)

2023-11-28 01:50:06 851 6

原创 【C语言趣味教程】(9) 分支语句Ⅰ:流程控制的概念 | if 语句 | if-else 语句 | if-elif-else 语句 | 关于 if(a) 和 if(!a) | 编译器分支优化

在展开讲解流程控之前我们可以先明确一些基本的概念术语的区分和讲解,都是所谓的"官话"。对这些概念有一个基本的了解后我们在做展开,有利于提升日后描述问题时用词的准确性。

2023-11-25 13:23:43 4021 22

原创 【金融分析】Python:病人预约安排政策 | 金融模拟分析

一个小型临床环境确定具有成本效益的病人预约安排政策,使用模拟和输出分析来提出建议。

2023-11-20 13:13:08 1118 9

原创 【FPGA】Verilog:实现 RS 触发器 | Flip-Flop | 使用 NOR 的 RS 触发器 | 使用 NAND 的 RS 触发器

触发器(Flip-Flop)是一种带有时钟的二进制存储设备,用于存储 0 和 1 的值。只有在时钟信号的边沿转换时,存储的 0 或 1 的值才会改变。

2023-11-19 18:55:35 1535 2

原创 【FPGA】Verilog:升降计数器 | 波纹计数器 | 约翰逊计数器 | 实现 4-bit 升降计数器的 UP/DOWN

本章我们将实现4bit升降计数器。

2023-11-18 01:37:00 3113 35

原创 【数据处理】Python:实现求条件分布函数 | 求平均值方差和协方差 | 求函数函数期望值的函数 | 概率论

本章我们将通过 Python 手动实现条件分布函数的计算,实现求平均值,方差和协方差函数,实现求函数期望值的函数。部署的测试代码放到文后了,运行所需环境python version >= 3.6,numpy >= 1.15,nltk >= 3.4,tqdm >= 4.24.0,scikit-learn >= 0.22。

2023-11-15 20:30:58 1302 22

原创 【数据处理】Python:实现求联合分布的函数 | 求边缘分布函数 | 概率论 | Joint distribution | Marginal distribution

本章我们将通过 Python 手动实现联合分布函数和边缘分布函数。

2023-11-14 17:45:35 4664 15

原创 【FPGA】Verilog:十进制计数器 | 实现 4-bit 2421 十进制计数器 | 有限状态机(FSM)

Verilog 实现 4-bit 2421 十进制计数器。

2023-11-13 20:05:56 605 4

原创 【嵌入式设计】Main Memory:SPM 便签存储器 | 缓存锁定 | 读取 DRAM 内存 | DREM 猝发(Brust)

讲解Main Memory (DRAM)的理论知识,了解便签存储器和缓存锁定的概念。

2023-11-13 16:08:45 5556

原创 【FPGA】Verilog:计数器 | 异步计数器 | 同步计数器 | 2位二进制计数器的实现 | 4位十进制计数器的实现

实现2位二进制计数器和4位十进制计数器。

2023-11-11 16:53:11 8450 28

原创 【FGPA】Verilog:移位寄存器 | 环形计数器 | 4bit移位寄存器的实现 | 4bit环形计数器的实现

本章我们将实现 4bit移位寄存器,4bit环形计数器。

2023-11-10 12:52:27 7747 22

【基于 Gym-CarRacing 的自动驾驶项目】Box2D CarRacing lane-dection 项目模板

多伦多大学自动驾驶专业的项目模板(提供基础代码)。 博客链接:https://foxny.blog.csdn.net/article/details/128510295 GYM-Box2D CarRacing 是一种在 OpenAI Gym 平台上开发和比较强化学习算法的模拟环境。它是流行的 Box2D 物理引擎的一个版本,经过修改以支持模拟汽车在赛道上行驶的物理过程。模块化组件 (Modular Pipeline) 分为 低层次感知与场景解析、路径训练 和车辆控制。

2023-06-14

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除