自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(116)
  • 资源 (73)
  • 收藏
  • 关注

原创 入门到入魂:单片机如何利用TB6600高精度控制步进电机(42/57)

文章目录日常唠嗑一、每次转动30°效果视频二、了解TB6600及电机接线1.TB66001.1细分设置(精度控制)1.2电流设置1.3如何接线(重点)1.3.1步进电机与驱动器的接线1.3.2驱动器与主控板的接线三、C程序(51)日常唠嗑前阵子写了一篇FPGA:双线轨丝杠式升降台(及A4988与42步进电机原理)(同时包含51及32程序)里面用了A4988驱动模块作为驱动器,这个模块的优点是体积小,价格便宜,一个大概是两块钱左右,多用于打印机或者空间较小的作品上。 缺点是容易烧,电路保护能力差,细分精

2020-11-21 14:40:25 36482 58

原创 正确解决:关于Lattic Diamond和Radiant License冲突问题(无法破解问题)

关于Lattic Diamond和Radiant License冲突问题(无法破解问题)License checkout failed.Check environment variable LM LICENSE FILEset to: D:\Tools\TimingDesigner\rDlic.datPlease check your license setup to ensure,(1) You have a valid Lattice license file pointed to by LM

2024-04-19 15:01:25 617

原创 FPGA:图像数字细节增强算法(工程+仿真+实物,可用毕设)

本案例采用的DDE(数字细节增强)算法,通过高斯滤波分离原图的高频信息和低频信息,利用原图和高斯滤波的差值提取细节,再将细节叠加到原始图像上,实现细节增强,增强后图像清晰度明显提高。

2024-04-09 00:39:39 951 2

原创 DFi频率比系统中 DDR 控制器的行为模型

本文详细介绍了DFi™频比系统中的DDR MC相位编码算法。它面向有兴趣了解 DDR MC 如何在特定相位总线中对 PHY 时序信息进行编码的技术受众。请参阅 DFi™ 3.1 规范,了解有关频率比系统的完整详细信息。

2024-01-20 15:56:06 1236

原创 京微齐力:基于H7的平衡控制系统(一、姿态解析)

很久之前,就想用纯FPGA做一套控制系统。可以用到平衡车、飞控、水陆两栖船上面,让很多想快速入门比赛的学子,能够在这套“底盘”上面,结合图像处理、多信息融合等技术,快速搭建出自己的作品。恰逢认识FPGA之旅的作者-吴工,他也在做这件事,顿感追攀更觉相逢晚,恨不相逢早。对未来的真正慷慨,是把一切都献给现在,不再想,今天就开始做!

2023-12-14 19:23:49 1218 1

原创 RGMII回环:IDDR+ODDR+差分接口

1、通过IDDR和ODDR的方式完成RGMII协议;2、外部接口使用OBUFDS、IBUFDS转换成差分接口;3、数据转换及传输:顶层文件自己产生100次数,每个数都是8bit,传给oddr模块,oddr模块经过转换再传出4bit,这4bit数据再输出到外部(仿真的时候,可以接到输入,实际板测可以用跳线帽短接,也可以传给另一块板子,进行回环实验),4bit数据重新从顶层输入到iddr模块,iddr模块经过转换,输出8bit数据,输出到外部。

2023-11-12 16:32:09 530

原创 FTUSB-0,Lattice文档写反了(更新:没写反,是没写全)

闹了个乌龙,Lattice文档写反了,FTUSB-0和FTUSB-1写反了,FTUSB-1才是JTAG。

2023-11-02 22:11:32 216

原创 Multisim:JFET混频器设计(含完整程序)

在本实验中,研究了使用 JFET 的混频器。它包含乘法运算和滤波运算,将来自射频调谐放大器的信号与来自本地振荡器的“载波”信号混合。上变频器和下变频器模式均可用。本实验选择下变频器是因为滤波器要求没有上变频器严格。该实验由NIMultisim进行。 本实验的主要目的是加深对混合电路理论方面的理解,掌握利用multisim实现混合电路的设计和流程。

2023-10-07 15:53:29 350

原创 MATLAB:线性系统的建模与仿真(含完整程序)

本实验主要是用Matlab来进行:1、对线性定常系统建模;2、得到阶跃响应和脉冲响应;3、研究磁极位置对响应的影响;4、研究零对反应的影响;5、确定对一般输入的时间响应。

2023-10-06 17:43:27 1032 2

原创 MATLAB:电机控制(Motor Control)

Control design is very important in for power electronics, such as the application on converters and motor control. In this lab, we will learn how to design a PI controller for a DC motor to meet the required specifications. The lab will be conducted on th

2023-09-17 21:10:35 1542

原创 2023 全国大学生电子设计竞赛题目

2023全国大学生电子设计竞赛题目

2023-08-02 08:47:30 3238

原创 Litedram仿真验证(三):AXI接口完成仿真(FPGA/Modelsim)

Litedram DDR控制器AXI接口,完成仿真(使用vivado和modelsim联调),附带程序

2023-07-16 21:44:36 638 3

原创 Lattice Diamond 1171236 ERROR - Clock frequency cannot be 0.

Lattice Diamond 1171236 ERROR - Clock frequency cannot be 0. Please set Default Clock Frequency in thermal analysis of Strategy.错误解决

2023-07-07 16:18:48 276

原创 京微齐力:基于H7的曼彻斯特(编码&解码&串口)系统

1、用两块FPGA开发板设计一个曼彻斯特码编码和解码系统;2、第1块板负责在按键后将拨码开关拨出的8位二进制码用曼彻斯特码发出;3、第2块板负责在收到曼彻斯特码号将其解析并在数码管上显示。两块板记得共地。(也可以用debugware进行波形读取)

2023-06-28 00:20:17 559

原创 基于阿尔法均值滤波的FPGA图像系统(工程+原理图+PCB+仿真)

本文主要设计完成了改进中值滤波图像处理系统的硬件设计及算法设计,经过电路设计、设计输入、RTL仿真、综合优化、布局布线、时序仿真与验证、板级仿真与验证,以及芯片编程与调试,最后将码流加载到FPGA上。硬件设计主要为原理图设计、PCB设计及FPGA相关模块的电路设计,软件设计主要为α均值滤波(改进的中值滤波)算法模块、TFTLCD模块、传统中值滤波算法模块、叠加椒盐噪声模块、灰度化算法模块及其他小功能模块的代码设计及功能仿真。

2023-06-23 11:13:33 3625 3

原创 LiteDram仿真验证(二):仿真中,DDR3初始化问题

上一篇文章:LiteDram仿真验证(一):安装、配置及导出Verilog,上一篇文章,在搭建好环境,导出Litedram_core.v文件后,原本计划是使用镁光的DDR3模型在Vivado或Modelsim上对这个内核进行仿真。 但是,用AXI接到内核的AXI用户接口,对模型进行testbench仿真,发现,DDR3一直无法完成初始化(即init_done,一直没有被拉高),于是乎,我查阅了GitHub项目中多个讨论。

2023-06-03 17:51:32 1731 3

原创 基于FPGA:运动目标检测(包围盒仿真工程,及一些调试问题)

基于FPGA:运动目标检测(包围盒仿真工程,及一些调试问题)Quartus II+Modelsim,输入图片,检测目标并绘制包围盒。

2023-05-29 23:16:28 2436 2

原创 基于FPGA:运动目标检测(LCD显示+串口输出,纯Verilog工程)

本系统在图像采集之前,由于板载晶振与摄像头模块及 TFT-LCD 模块频率不一致,所以在系统工作之前,需要设计锁相环模块输出与OV5640摄像头一致的时钟信号。时钟输入后,根据 OV5640 的手册进行寄存器配置,使 OV5640 的工作模式符合本系统的设计需求。CMOS 传感器采集进来的图像进入到图像处理模块,输出当前帧的灰度,用于写入SDRAM。同时从SDRAM中读出前一帧的灰度(与当前输出相差一个时钟周期)重新进入图像处理模块进行帧差法的图像处理(即运动目标检测相关的处理)。

2023-05-13 17:43:15 3505 4

原创 高速Serdes技术(FPGA领域应用)

SERDES,即 Serializer / Deserializer,是串行器和解串器,是一种广泛应用于高速串行数据传输的技术。它将并行数据序列化成一个高速串行数据流,并在接收端将该序列还原为原始的并行数据。 SERDES 技术通常使用在点对点传输场景下,例如在芯片之间、板卡之间或机箱之间,因为这些场景需要传输大量的数据以及较长的距离和高速率。SERDES 技术可以通过降低线路数量和减小线路长度来提供高速、可靠的数据传输。

2023-04-12 18:07:58 5789

原创 LiteDram仿真验证(一):安装、配置及导出Verilog

因为项目,需要对DDR做一个软核控制器,物色了Litex项目的外设:Litedram。网上现有的教程,基本都是验整个Litex,因为缺少单独验证Litedram的资料,踩了不少坑,这里介绍一下单独验证Litedram的流程,本小节,只讲安装、配置及导出litedram_core.v文件,后续章节,再补充GTKWave仿真波形等。

2023-03-02 18:04:58 1286

原创 安装虚拟机连锁反应——安装&卸载运行库出错:0x80070652-正在进行另一个安装操作。请在继续这个安装操作之前完成那个操作

安装虚拟机连锁反应:“setup failed to generate the ssl keys necessary to run vmware”安装&卸载运行库出错:0x80070652-正在进行另一个安装操作。请在继续这个安装操作之前完成那个操作

2023-02-24 17:57:18 1004

原创 Zynq-SDK开发(错误解决): ./src/main.o: in function main‘

在做Zynq-7000 SDK开发的时候,想做个EMIO的demo,demo是在旧demo的基础上建立的,编译C代码的时候,遇到了一个问题:./src/main.o: in function main’make: *** [makefile:41: gpio emio.elf] Error 1multiple defniton of’main’ ,/src/helworld.o:D: Zyng 7015 1helo fowled emioed emio.sdkigpio emio Debug//src

2023-02-10 15:38:13 803

原创 零基础学FPGA(八):可编程逻辑单元(基本结构,Xilinx+Altera)

本篇文章,结合软件、官方文档及网上多篇优秀文章,系统的讲解了FPGA的基本结构,包括目前Xilinx及Altera主流芯片的基本结构。尽量做到,一篇文章弄懂FPGA基本结构。

2023-02-03 16:30:17 5614 1

原创 FPGA:IIC验证镁光EEPROM仿真模型(纯Verilog)

IIC协议这里就不赘述了,网上很多,这里推荐两个,可以看看【接口时序】6、IIC总线的原理与Verilog实现 ,还有IIC协议原理以及主机、从机Verilog实现。 前者是对IIC协议详细介绍、以及主机发送,主机接收两种方式。后者,是在前者基础上做设计,讲的是主机、从机两种设计实例。关于IIC从机,网上例程较少,可以参考这个博主的。不过,这个博主的状态机写的很乱,也没什么注释,看了两天才搞明白Verilog描述的什么,如果有FPGA爱好者需要用到,又看不懂的,可以私信我。

2023-01-15 21:27:13 5095 3

原创 小师弟:2022广东省工科赛分享(越障排爆省一,完整项目)

小师弟说在广东省工科赛跟电赛拿奖了,听了很开心,那个腼腆的男孩,也能开始自己独挡一面了。我个人认为,在大学中参与竞赛,并不是要蛮干,而是要在竞赛中,实践,查漏补缺,进行总结,所以今天邀请小师弟写写自己的心得,分享给大家。

2022-12-17 16:26:42 3615 5

原创 零基础学FPGA(七):Altera FPGA管脚简述

同上一篇文章[术语:Xilinx及Altera FPGA 配置名词区分],本篇文章也是短文,简述Altera FPGA芯片的管脚,供FPGA同行快速查阅信息。如果需要细入研究,可以网上检索看看,文章很多,写的也很详细。也可以参考官方配置文档(其实网上很多文章都是翻译官方文档,要想深入研究建议多看官方文档)

2022-12-12 16:28:49 4397 1

原创 术语:Xilinx及Altera FPGA 配置名词区分

本文篇幅较短,主要给FPGA同行快速区分Xilinx及Altera 两个厂家配置模式的,如果需要细入研究,可以网上检索看看,文章很多,写的也很详细。也可以参考官方配置文档(其实网上很多文章都是翻译官方文档,要想深入研究建议多看官方文档)

2022-12-08 16:35:29 588

原创 分享:STC-51激光雕刻机项目(免费完整资料)

基于STC51的激光雕刻机,完整资料,可以用作毕设或者比赛。

2022-11-20 19:06:16 1311

原创 iPhone13,网络信号不好,解决方法(亲测有效)

苹果手机,网络信号不好处理方法,亲测有效。

2022-11-18 09:38:32 4539

原创 零基础学FPGA(六):FPGA时钟架构(Xilinx为例,完整解读)

不知不觉已经离开校园,工作了4个月。上班后,发现自己在学校学习的,其实都是些皮毛,所以一直不敢更新这个专栏(前面几篇文章,如果有错误的地方,笔者在这里期待大家批评指正)。要真正了解FPGA,其实不应该从表面应用开始,也不是一开始像学单片机那样敲代码,而是应该了解,何为FPGA? 何为FPGA,其实应该从FPGA的架构开始了解起,FPGA的基本组成,时钟单元结构等等。 囫囵吞枣,洋洋洒洒写了半个月,借鉴了很多文章,有不懂的,可以一起探讨!

2022-11-14 19:27:03 10940 10

原创 T12烙铁(焊台)通用电源(2个方案,24V)

T12焊台通用电源,已经做过实物,可以直接打板。内含原理图+PCB文件。

2022-10-29 17:52:48 3590 2

原创 Lattice:2、MachXO3配置模式

接上篇文章,Lattice:1、MachXO系列CPLD器件专业名词(缩略语及器件介绍),这篇文章讲一下XO系列CPLD的配置模式。

2022-10-18 16:15:11 778

原创 Lattice:1、MachXO系列CPLD器件专业名词(缩略语及器件介绍)

Lattice的XO系列器件特性及相关专业术语,名词。

2022-10-17 17:27:09 1028

原创 京微齐力:基于P1P060的OLED动态显示(温湿度实时数据)

最近师傅说,搞个层次深点的网表探测demo✨✨,之前一直想试试0.96寸OLED动态显示,一直没搞,也考虑到大家毕设或者竞赛上需要用到,现在借机会在P1P060上做一个,程序参考了FPGA之旅以及正点原子的DTH11设计,在此鸣谢😁。

2022-10-08 23:03:31 2908 10

原创 2023社招——特博赛科技FPGA工程师(笔试题目)

最近比较得空,想看看今年秋招(校招需要各种填资料,太麻烦了,就点了社招)什么情况,就在软件上随便投了几份简历,有个小企业发了份试卷,FPGA开发岗,看了一下基本是八股文,简单做了一下,这里分享给大家,有错误的欢迎指出。 从这份试卷来看,小公司的FPGA开发社招,基本还不是很难,只要常规的基本功打扎实了,还是有岗位的,初学者不用过分担心,FPGA人才暂时较为稀缺。

2022-09-26 14:05:09 2056 4

原创 抽空做了个“胃肠镜”,唠唠嗑

好久没唠嗑了,这篇文章,不是技术文,在技术平台上分享点生活(感觉肠胃屁股病是办公人员的通病),希望大家别介意。

2022-09-23 15:00:24 1142 3

原创 正确解决:关于Lattic Diamond软件注册不成功(license问题)

今天工作,需要用到莱迪思的设计软件,安装好之后,安装流程(流程可以看另一个博主的Lattice Diamond 3.12下载与安装(免费获取license.dat)),在官网进行注册账号,申请License,但是把 license.dat文件放到路径下后,发现还是不行。后来发现是官网license问题,重新换一个就好了。

2022-09-05 17:36:48 1798 4

原创 正确解决:FTP文件夹错误,将文件复制到FTP服务器时发生错误。请检查是否有权限将文件放到该服务器上。

Win10上传文件到Linux服务器报错:FTP文件夹错误,将文件复制到FTP服务器时发生错误。请检查是否有权限将文件放到该服务器上。

2022-08-18 20:08:16 26114 6

原创 2022电赛C题:小车跟踪(方案1+核心代码)

20年广东电赛开放题:本团队做的小车跟踪,刚好吻合2022电赛题目,所有资料(完成工程+原理图等),都集中在这里了,时间赶,还没整理,介意的不要下载。STM32F103+openmv4+码盘电机(有基础的可以移植到TI板子)...

2022-07-28 11:16:38 36636 29

原创 基于FPGA:多目标运动检测(手把手教学①)

本算法是针对已经进行了二值化的图像进行目标分割和标记。如帧差法运动目标检测算法,已经进行了帧间差、二值化、腐蚀、膨胀这些算法后得到的二值化图像。分割采样距离判别的方法,标记则采用矩形包围盒。 本算法模块应用在新版本的“FPGA帧差法运动目标检测中”,可以直接替换老版本中的包围盒算法模块:find_box模块,接口定义兼容,同时新日两版本的区别也是在于本模块,所以在老版本基础上只需要额外理解本算法模块即可理解新版本代码。...

2022-06-30 15:43:12 6617 4

RGMII回环:IDDR+ODDR+差分接口(板测+仿真)

1、通过IDDR和ODDR的方式完成RGMII协议; 2、外部接口使用OBUFDS、IBUFDS转换成差分接口; 3、数据转换及传输:顶层文件自己产生100次数,每个数都是8bit,传给oddr模块,oddr模块经过转换再传出4bit,这4bit数据再输出到外部(仿真的时候,可以接到输入,实际板测可以用跳线帽短接,也可以传给另一块板子,进行回环实验),4bit数据重新从顶层输入到iddr模块,iddr模块经过转换,输出8bit数据,输出到外部。 vivado 介绍文章:https://blog.csdn.net/weixin_46423500/article/details/134219739

2023-11-12

MATLAB:线性系统的建模与仿真(含完整程序)

花了好大心血完成了一份留学作业系列——3:线性系统的建模与仿真;供大家参考,资源包邮Simulink程序及无水印Word文档。 本实验主要是用Matlab来进行: 1、对线性定常系统建模; 2、得到阶跃响应和脉冲响应; 3、研究磁极位置对响应的影响; 4、研究零对反应的影响; 5、确定对一般输入的时间响应。 具体看文章说明:https://blog.csdn.net/weixin_46423500/article/details/133613648

2023-10-06

Multisim:JFET混频器设计(含完整程序)

资源包括:题目文件+作业Word+可运行Multisim程序。 在本实验中,研究了使用 JFET 的混频器。它包含乘法运算和滤波运算,将来自射频调谐放大器的信号与来自本地振荡器的“载波”信号混合。上变频器和下变频器模式均可用。本实验选择下变频器是因为滤波器要求没有上变频器严格。 该实验由NIMultisim进行。 本实验的主要目的是加深对混合电路理论方面的理解,掌握利用multisim实现混合电路的设计和流程。 具体可看文章说明:https://blog.csdn.net/weixin_46423500/article/details/133612544

2023-10-06

MATLAB:电机控制(Motor Control)

花了好大心血完成了一份留学作业,供大家参考,文末有MATLAB程序及无水印Word文档。 具体内容可参考文章介绍: https://blog.csdn.net/weixin_46423500/article/details/132924772 Control design is very important in for power electronics, such as the application on converters and motor control. In this lab, we will learn how to design a PI controller for a DC motor to meet the required specifications. The lab will be conducted on the simulation software Matlab Simulink. The design of PI controllers............

2023-09-16

基于阿尔法均值滤波的FPGA图像系统(Verilog+原理图+PCB+仿真)

FPGA工程(Quartus II)+Modelsim完整仿真+MATLAB工程+自主设计开发板(原理图+PCB图纸) 主控:EP4CE10; 摄像头:OV5640; 显示:TFT-LCD; 主要设计完成了改进中值滤波(阿尔法均值滤波)图像处理系统的硬件设计及算法设计,经过电路设计、设计输入、RTL仿真、综合优化、布局布线、时序仿真与验证、板级仿真与验证,以及芯片编程与调试,最后将码流加载到FPGA上。硬件设计主要为原理图设计、PCB设计及FPGA相关模块的电路设计,软件设计主要为α均值滤波(改进的中值滤波)算法模块、TFTLCD模块、传统中值滤波算法模块、叠加椒盐噪声模块、灰度化算法模块及其他小功能模块的代码设计及功能仿真。 具体参考文章:https://blog.csdn.net/weixin_46423500/article/details/131335284

2023-06-23

基于FPGA:运动目标包围盒仿真(Quartus+modelsim)

输入图片,对目标绘制包围盒仿真 仿真工程操作及其介绍,见文章:https://blog.csdn.net/weixin_46423500/article/details/130674948

2023-05-29

基于FPGA:运动目标检测(LCD显示+串口输出,完整工程).zip

功能: 运动目标检测,结果显示在TFT-LCD屏幕上,并通过串口(UART,波特率9600)输出检测信息到上位机。(私信可6折获取工程) 纯Verilog设计,代码有注释,通俗易懂,适合竞赛、毕设使用。 硬件: 开发板Altera:EP4CE10F17C8 摄像头:OV5640 屏幕:TFT-LCD 缓存数据:SDRAM 文章讲解: https://blog.csdn.net/weixin_46423500/article/details/130657425

2023-05-13

2022广东省工科赛省一(越障组,程序+PCB+3D打印)

基于STM32+Openmv做的项目,里面有完整工程(可复现,竞赛毕设都能用) 关于讲解部分,可以在我文章里面检索,题目:小师弟:2022广东省工科赛分享(越障排爆省一,完整项目)

2022-12-17

基于FPGA的运动目标检测(视频,手把手讲解代码)

此资源是手把手讲解代码视频,全长1小时,因为视频比较大,CSDN放不下,所以放在了百度网盘,此资源下载后,会有一个网盘提取链接,提取视频即可。 工程:https://download.csdn.net/download/weixin_46423500/85039392 博客文章:https://blog.csdn.net/weixin_46423500/article/details/123754306

2022-12-14

T12焊台通用电源(2个方案,原理图+PCB)

T12焊台通用电源,家用交流电输入,24V直流电输出,做过实物了,可以直接打样。 具体内容可以看文章: https://qiange.blog.csdn.net/article/details/127589800

2022-10-29

基于FPGA的人脸识别系统(纯Verilog,有注释)

1、工程用的是Altera的EP4CE10芯片,纯Verilog设计,可以移植到任何FPGA 2、ov7725摄像头,VGA显示屏,可以直接烧录,看现象 3、所有代码均有注释。 4、Quartus II工程 可用毕设或者竞赛项目

2022-10-19

京微齐力:基于FPGA的OLED动态显示(温湿度实时数据)

1、国产FPGA+DTH11温度传感器+0.96寸OLED显示屏 2、纯Verilog代码设计,可移植到任何FPGA板子 3、有波形抓取,可以在软件上看温度传感器数据 4、完整工程,上板即可用

2022-10-19

基于STM32:磁流体蓝牙音箱(源码工程+PCB+原理图)

具体文章&视频介绍:https://qiange.blog.csdn.net/article/details/125304294 讲解都在文章里面,直接看文章就行

2022-06-15

FPGA:0.96寸oled字符显示(可直接运行)

工程是用国产京微齐力的HMEP1P060板子做的,可以做移植,只需要在quartus或者vivdo建立工程,把代码放进去综合即可。(除了源码工程,还有字符提取软件) 工程讲解:https://blog.csdn.net/weixin_46423500/article/details/124349430 FPGA模块: 顶层模块:JWQL_oled_v2_top 屏幕清除模块:Oled_Clear IIC驱动模块:I2C_Master 屏幕全亮模块:Oled_On 显示控制模块:Oled_Show_control 信息缓存区模块:font_data

2022-04-22

基于FPGA的运动目标检测(硬件+原理图+源码+仿真+设计文档)

1、可用毕设或者面试项目,单目标运动检测,有需要 ‘多目标’ 运动检测工程的,可以在我文章里搜索。 2、使用Altera芯片进行设计,纯Verilog,可以移植到任何FPGA。 3、具体文章介绍&视频:在我文章里搜索

2022-03-26

基于STM32:情侣互动玩偶(设计方案+源码+3D图纸+AD电路)

智能互动玩偶,当抚摸一只的头部,另一只的心脏会亮起来;当人出现在一只的后面的时候,另外一只的翅膀会动起来。 两个玩偶是通过蓝牙模块进行交互的,起初是想选用ESP8266利用物联网的方式进行通信,这样可以实现异地的功能,但是客户不想连WiFi,所以只能选蓝牙,有利有弊。相比之下,蓝牙设计起来其实更简单,配置没有那么复杂。 具体可参考本文章:https://blog.csdn.net/weixin_46423500/article/details/122583204

2022-01-22

电赛:STM32+OV7670摄像头+图像处理+通信,四轴飞行器定位

用stm32作为图像处理芯片的,然后把处理之后的信息传给M4,写的是跟踪黑色方块,返回飞行器相对黑色方块位置的信息。 #include <stm32f10x.h> #include "Nvic_Exit.h" #include "ov7670.h" #include "I2C.h" #include "ILI9325_32.h" #include "GUI_32.h" #include "delay.h" #include "led.h" #include "data_conf.h" #include "PictureAnalyse.h" #include "Tim1.h" /* 用到的模块 1:IIC 对应端口初始化 2:OV7670寄存器初始化+端口初始化 3:添加FIFO.C辅助端口初始化,并且添加RRST()和WRST()两个初始化函数 4:外部中断初始化 5:LED指示灯初始化 6:延时初始化 7: 串口初始化 测试用 程序逻辑 */ extern Picture_Control PictureContorl; int main() { LED_GPIO_Con

2022-01-19

基于STC51:四轴飞控开源项目原理图与源码(入门级DIY).rar

飞控配件: 1、STC8A8K16S4A12 LQFP44做的飞控1块。 2、MPU-6050三轴陀螺仪、三轴加速度传感器模块1块。MC6B遥控、接收机1套。 3、F450玻纤四轴机架1套。 4、2212无刷电机4个(配香蕉插)。20A电调4个。T插插头1个。 5、9450正反桨2对(实际买多些,因为新手会有损耗)。3S锂电池4200mAH 1块(用户可以购买多块爽飞)。B6平衡充(带12V 5A电源)1套。 6、12号硅胶线红、黑色给20cm。魔术带(捆绑电池的)1条。3M双面胶(3*7cm,粘电调、飞控用)2片。 7、扎丝或扎带若干(扎丝,因为可以方便的拧下来)。 本飞控仅仅是姿态飞行控制,没有GPS、电子罗盘、气压高度计、超声波测距、光流传感器等等,不能实现定点悬停,但是飞行感觉非常好,稳定,特别是暴力飞行的刺激,是很多玩家所喜欢的。用户可以自行增加这些传感器,编写相关的程序,以获得更好的飞行性能。 本飞控通过调整PID参数可以适应从250mm轴距到750mm 轴距的,都实际装机验证过,效果很好。 本例实用F450的四轴机架,大约40元,安装简单,入门快,让玩家可以快速的装配成功,如动手能力强可以自己买配件做机架,铝合金或碳纤维均可。我喜欢用铝合金方管,好加工,强度好,还很轻。 配套使用MC6B的遥控、接收套件,左手油门(俗称“美国手”),大约130元,是我能找到的最便宜的遥控器了,不差钱的玩家可以使用更昂贵的遥控器套件。四轴实物照片如下图所示。 文件包含:程序+原理图+技术文档

2022-01-09

基于STM32:蓝牙PID水温控制程序(500W热得快).rar

7805线性电源提供5v直流给单片机和继电器模块,stm32 gpio口输出pwm可调方波,1hz频率,采用PID算法计算输出PMW占空比,温差大时,大功率输出,温差小时继电器不断开关,PMW占空比改变控制加热功率,OLED可见参数和水温,按键可调,增加了蓝牙控制,可以用手机调参,改变所需加热值。 所用到的材料 stm32 c8t6最小系统 四个独立按键 500w热得快 5v继电器模块 iic 四针oled屏 蓝牙模块 7805 220-12v变压器 DS18B20

2022-01-08

基于STM32F103:驱动mpu6500陀螺仪(RTOS系统)调试成功可直接使用.7z

#include "sys.h" #include "delay.h" #include "usart.h" #include "led.h" #include "timer.h" #include "FreeRTOS.h" #include "task.h" #include "debug_cmdshell.h" #include "stabilizer.h" //任务优先级 #define START_TASK_PRIO 1 //任务堆栈大小 #define START_STK_SIZE 128 //任务句柄 TaskHandle_t StartTask_Handler; //任务函数 void start_task(void *pvParameters); //任务优先级 #define TASK2_TASK_PRIO 3 //任务堆栈大小 #define TASK2_STK_SIZE 512 //任务句柄 TaskHandle_t Task2Task_Handler; //任务函数 void task2_task(void *pvParameters); int main(void) { NVIC_PriorityGroupConfig(NVIC_PriorityGroup_4);//设置系统中断优先级分组4 delay_init(); //延时函数初始化 uart_init(115200); //初始化串口 LED_Init(); //初始化LED stabilizerInit(); //创建开始任务 xTaskCreate((TaskFunction_t )start_task, //任务函数 (const char* )"start_task", //任务名称 (uint16_t )START_STK_SIZE, //任务堆栈大小 (void* )NULL, //传递给任务函数的参数 (UBaseType_t )START_TASK_PRIO, //任务优先级 (TaskHandle_t* )&StartTask_Handler); //任务句柄 vTaskStartScheduler(); //开启任务调度 } //开始任务任务函数 void start_task(void *pvParameters) { taskENTER_CRITICAL(); //进入临界区 xTaskCreate((TaskFunction_t )task2_task, (const char* )"task2_task", (uint16_t )TASK2_STK_SIZE, (void* )NULL, (UBaseType_t )TASK2_TASK_PRIO, (TaskHandle_t* )&Task2Task_Handler); xTaskCreate(stabilizerTask, "STABILIZER", 450, NULL, 5, NULL); /*创建姿态任务*/ vTaskDelete(StartTask_Handler); //删除开始任务 taskEXIT_CRITICAL(); //退出临界区 } //task2任务函数 void task2_task(void *pvParameters) { //u8 task2_num=0; u16 len; while(1) { //task2_num++; //任务2执行次数加1 注意task1_num2加到255的时候会清零!! //printf("任务2已经执行:%d次\r\n",task2_num); if(USART_RX_STA&0x8000) { len=USART_RX_STA&0x3fff; debugcmd_process(USART_

2021-12-31

基于FPGA:喷泉控制系统的设计( Quartus工程).zip

当按下起动按钮后,A组喷头先喷5S后停止,然后B、C组喷头同时喷,5S后,B组喷头停止、C组喷头继续5S再停止,而后A、B组喷头喷7S,C组喷头在这7S的前2S内停止,后5S内喷水,接着A、B、C三组喷头同时停止3S,以后重复前述过程。按下停止按钮后,三组喷头同时停止喷头。

2021-12-31

PLC:全自动洗衣机的可编程控制器课程设计报告.docx

全自动洗衣机控制系统利用了欧姆龙PLC的特点,对电磁阀、开关等其他一些输入输出点进行控制,实现洗衣机洗衣过程的自动化。充分代表现代家电用品的个性,在全自动洗衣机中,洗衣机洗涤,脱水程序是以单片机为中心控制系统工作的。由于其指令系统相对复杂,又有多种电路保护装置。这样增加了硬件复杂性,有较高的故障率,增加了维修成本费用。而在工业控制系统中广泛应用的PLC则克服了单片机的缺点,它是整体模块集中了驱动电路,检查和保护电路以及通讯互联功能。因此用于各种规模的过夜控制场合。 可编程控制器(PLC)是以计算机为核心的通用自动化控制,它的功能性强,可靠性高,编程简单,使用方便,体积小巧,近年来在工业生产中得到广泛的应用,被誉为当代工业自动化主要支柱之一。在现代的社会,全自动洗衣机进入各个家庭,本文介绍了PLC应用于全自动洗衣机的控制系统,其可改进现有技术的不足,简化结构,有利于减低成本和提高可靠性。

2021-12-31

基于MATLAB的异步电机变频调速系统的设计.doc

本文主要对交流异步电动机SPWM变频调速矢量控制系统进行建模与仿真。变频调速系统在异步电动机的各种调速方式中效率最高、性能最好,因此有着极其重要的地位。电气传动控制系统计算机仿真是应用现代软件工具对其工作特性进行研究的一种十分重要的方法。通过仿真试验,可以比较各种策略与方案,优化并确定相关参数。因此进行系统仿真是不可或缺的,为科学决策提供了可靠的依据。 本文介绍了交流调速系统概况、矢量控制的基本概念以及异步电动机变频调速系统在 MATLAB/Simulink仿真工具中模型建立以及特性研究。一方面,本文通过对交流异步电动机矢量控制调速系统各部分仿真,得出该系统各部分的运行特性;另一方面,通过对转矩内环的转速、磁链闭环矢量控制系统和转差频率控制的异步电动机矢量控制系统的仿真,熟悉了矢量控制系统的参数设置和工作特性。 本文通过仿真实验不仅了解和掌握了异步电动机运行特性,更重要的是得出的仿真数据,为新的实验设备的引进和进一步开发打下了坚实的基础。

2021-12-31

proteus:舞蹈机器人步进机仿真,C51程序通过(课设).rar

#include "reg51.h" #include "intrins.H" //8步式步进电机脉冲序列 //unsigned char steps[8] = {0x77,0x33,0xbb,0x99,0xdd,0xcc,0xee,0x66}; unsigned char steps[8] = {0x2,0x6,0x4,0xc,0x8,0x9,0x1,0x3}; //当前各电机在上述序列中的位置 unsigned char cur_step[8] = {0,0,0,0,0,0,0,0}; //这个文件用于记录舞步信息序列 char speed_tickers[8] = {0,0,0,0,0,0,0,0}; //从上述文件中读出的当前舞步信息 unsigned char speeds[8] = {0,0,0,0,0,0,0,0}; unsigned char data_pointer = 0;//指向舞步数据的指针 unsigned char time_t = 0;//指定重新读取一次 speeds 值的计时周期 code char dancedata[] = {54,15,12,12,87,95,65,45,-89,-88,-54,-54,54,68,-45,-65,-65,-48,101,121,115,117,19, -98,-100,-21,-114,-101,-24,-98,95,102,102,100,130,30,10,-90,-120,87,102,103,105,109,104,-30,-102,-104,-106,110,100, 54,15,12,12,87,95,65,45,-89,-88,-54,-54,-98,95,102,102,100,130,30,10,-90,-120,87,102-114,-101,-24,-98,95,102,102, 100,130,30,10,-90,-120,87,102,102,100,130,30,10,-90,-120,87,102,103,105,54,15,12,12,87,95,65,45,-89,-88,-54, -98,-100,-21,-114,-101,-24,-98,95,102,102,100,130,30,10,-90,-120,87,102,103,105,12,87,95,65,45,-89,-88,-54, -98,-100,-21,-114,-101,-24,-98,95,102,102,100,130,30,10,-90,-120,87,102,103,105,109,104,-30,-102,-104,-106,110, 100,130,30,10,-90,-120,87,102,102,100,130,30,10,-90,-120,87,-120,87,102,103,105,109,104,-30,-102,-104,-106,110, 54,15,12,12,87,95,65,45,-89,-88,-54,-54,-98,95,102,102,100,130,30,10,-90,-120,87,102-114,-101,-24,-98,95,102,102, 54,15,12,12,87,95,65,45,-89,-88,-54,-54,-98,95,102,102,100,130,30,10,-90,-120,87,102-114,-101,-24,-98,95,102,102, 54,15,12,12,87,95,65,45,-89,-88,-54,-54,-98,95,102,102,100,130,30,10,-90,-120,87,102-114,-101,-24,-98,95,102,102, 54,15,12,12,87,95,65,45,-89,-88,-54,-54,-98,95,102,102,100,130,30,10,-90,-120,87,102-114,-101,-24,-98,95,102,102, -98,-100,-21,-114,-101,-24,-98,95,102,102,100,130,30,10,-90,-120,87,102,103,105,12,87,95,65,45,-89,-88,-54, -98,-100,-21,-114,-101,-24,-98,95,102,102,100,130,30,10,-90,-120,87,102,103,105,12,87,95,65,45,-89,-88,-54, -98,-100,-21,-114,-101,-24,-98,95,102,102,100,130,30,10,-90,-120,87,102,103,105,12,87,

2021-12-25

STM32仿三菱PLC-源代码(含原理图).zip

#include "iostm32f10xxB.h" #include "stm32f10x_lib.h" #include "main.h" //rac /* Includes ------------------------------------------------------------------*/ #include "iic.c" #include "uart.c" #include "plc.c" #include "stm32f10x_it.c" /* Private functions ---------------------------------------------------------*/ int main(void) { #ifdef DEBUG debug(); #endif //配置系统时钟 sys_config(); //配置 NVIC 和 Vector Table NVIC_Configuration(); //配置使用的GPIO口 GPIO_Configuration(); //改变指定管脚的映射 GPIO_Remap_SWJ_JTAGDisable JTAG-DP 失能 + SW-DP使能 GPIO_PinRemapConfig(GPIO_Remap_SWJ_JTAGDisable , ENABLE); xxdelay(); SysTick_Config(); I2C_EE_Init(); Analog_Configration(); DMA_Configration(); program = (unsigned char *)(0x0800C000); UART0_setup(); // uart0_txptr = 0; // uart0_txall = 2; // COM0_OUT; // USART1->DR = 0x55; UART1_setup(); LED0_OFF; LED1_OFF; //主循环 plc_main(); } #ifdef DEBUG void assert_failed(u8* file, u32 line) { /* User can add his own implementation to report the file name and line number, ex: printf("Wrong parameters value: file %s on line %d\r\n", file, line) */ /* Infinite loop */ while (1) { } } #endif

2021-12-23

电力电子技术:单相逆变器设计(MATLAB+AD原理图+技术文档).rar

设计指标: 1)电池直流电压为9-16V; 2)输出交流电压为220V; 3)单相功率输出为:200W; 4)输出交流电压THD:<5%; 5)稳态误差:0.8 8)完成主电路、驱动电路和保护电路设计,主要元器件的选择;

2021-12-19

基于Arduino:球轮机器人(完整程序+3D建模文件).zip

主程序: #include "gyro.h" #include "pid.h" #include "motor.h" #define dt 10 // in ms /* Object definitions and settings */ Gyro myGyro(dt); PIDControl pid(1.0,0,0.005,-255,255,dt); OmniMotorDriver omd; /* Deadzone definition. With a rotation of less than this value, * the robot will stand still */ double deadzone = 1.5; /* Buffers for timing, gyro data and PID outputs */ long lastMillis; double xAngle, yAngle; double xOut, yOut; /* Main setup call * Initializes Serial, the IMU and prepares the motor driver, * calbriates the IMU and enables the PID controller */ void setup() { pinMode(13, OUTPUT); Serial.begin(115200); if(!myGyro.init()) { while(1) { digitalWrite(13, HIGH); delay(1000); digitalWrite(13, LOW); delay(2000); } } myGyro.calibrate(); omd.init(); pid.enable(); } /* Main loop * The main loop connects all the libraries and classes together, * in that it describes the order of function calls that is necessary * for the function of the robot */ void loop() { /* Save the current point in time in lastMillis */ lastMillis = millis(); /* Get Data from Gyro */ myGyro.update(&xAngle, &yAngle); /* Compute PID Output with the data */ pid.compute(xAngle, yAngle, &xOut, &yOut); /* Plot Angle on Serial Plotter Serial.print(xAngle); Serial.print(" "); Serial.print(yAngle); Serial.println(" "); */ /* Plot Angle on Serial Plotter Serial.print(xOut); Serial.print(" "); Serial.println(yOut); */ /* If any calculated angle is larger than the deadzone */ if(abs(xAngle) > deadzone || abs(yAngle) > deadzone) { /* Actually drive the motors */ omd.drive(xOut, yOut); /* Otherwise, stop them */ } else omd.stop(); /* Wait until the next dt cycle * if processing took less than dt, wait for the remaining time, * otherwise wait for the next full cycle to start * This is needed for gyro and PID accuracy */ int pTime = millis() - lastMillis; int resttime = (dt > pTime

2021-12-14

基于STM32F103:球平衡机器人源码(完整程序可以直接用).zip

主程序: #include "sys.h" u8 Flag_Left,Flag_Right; // u8 Flag_Stop=1,Flag_Zero=0,Flag_Show,Flag_Qian,Flag_Hou,Flag_Left,Flag_Right,Flag_OK; //停止标志位和 显示标志位 默认停止 显示打开 float Motor_X,Motor_Y,Motor_Z; long int Motor_A,Motor_B,Motor_C; //电机PWM变量 long int Target_A,Target_B,Target_C; //电机目标值 int Voltage; //电池电压采样相关的变量 float Show_Data1,Show_Data2,Show_Data3,Show_Data4; //全局显示变量,用于显示需要查看的数据 u8 delay_50,delay_flag; //延时相关变量 u8 PID_Send; //CAN和串口控制相关变量 float Pitch,Roll,Yaw,Move_X,Move_Y,Move_Z,Roll_Bias,Pitch_Bias,Roll_Zero,Pitch_Zero; float Balance_Kp=200,Balance_Kd=19,Velocity_Kp=55,Velocity_Ki=10; //位置控制PID参数 int main(void) { Stm32_Clock_Init(9); //=====系统时钟设置 delay_init(72); //=====延时初始化 JTAG_Set(JTAG_SWD_DISABLE); //=====关闭JTAG接口 JTAG_Set(SWD_ENABLE); //=====打开SWD接口 可以利用主板的SWD接口调试 LED_Init(); //=====初始化与 LED 连接的硬件接口 KEY_Init(); //=====按键初始化 OLED_Init(); //=====OLED初始化 uart_init(72,128000); //=====串口1初始化 uart2_init(36,9600); //=====串口2初始化 uart3_init(36,115200); //=====串口3初始化 Adc_Init(); //=====adc初始化 IIC_Init(); //=====IIC初始化 delay_ms(50); MPU6050_initialize(); //=====MPU6050初始化 DMP_Init(); //=====初始化DMP delay_ms(500); //=====延时等待初始化稳定 EXTI_Init(); //=====MPU6050 5ms定时中断初始化 CAN1_Mode_Init(1,2,3,6,0); //=====CAN初始化 MiniBalance_PWM_Init(7199,14); //=====初始化PWM 用于驱动电机 while(1) { if(Flag_Show==0) { DataScope(); //===上位机 delay_flag=1; //===50ms中断精准延时标志位 oled_show(); //===显示屏打开 while(delay_flag); //===50ms中断精准延时 主要是波形显示上位机需要严格的50ms传输周期 } else { APP_Show(); //===APP oled_show(); //===显示屏打开 delay_flag=

2021-12-14

车牌识别:模型车牌生成器.zip

主要用于图像处理,生成车牌模型,用于训练。可生成任意车牌号。

2021-12-14

STM32工程:2021广东省工科赛—全地形越障排爆组(省奖).rar

源码讲解可看文章:https://blog.csdn.net/weixin_46423500/article/details/121842560 全地形越障排爆小车设计制作 (1)自行设计和制作一台参赛全地形越障排爆小车,可采用轮式、履带式结构,轮式底盘最大轮径不超过105mm,履带式底盘的轮径不超过45mm。具体设计、材料、零件的选用(可采用现场提供的标准套件)及加工制作均由参赛学生自主完成,整车的装配调试在现场完成,现场提供相关套件供参赛队选用 (2)全地形越障排爆小车的主体基板(底盘)需要现场采用激光加工设备进行加工,非金属材料,厚度3mm或5mm。所用设备:北京正天恒业数控技术有限公司(多功能激光雕刻机D90M)或广州华之尊光电科技有限公司(i.Laser3000精密型二氧化碳非金属激光切雕一体机)。 (3)全地形越障排爆小车必须是完全自主的(禁止各种形式的无线通讯);(4)全地形越障排爆小车的长宽高分别不超过300mm× 230mm×200m

2021-12-10

2021国电F题——STM32送药小车(源码,省一,推国赛).zip

资源介绍可以看这篇文章,https://blog.csdn.net/weixin_46423500/article/details/121384853 基于STM32F103C8T6+OPENMV4设计,有需要可下载

2021-11-30

openmv:神经网络文件(lenet.network).zip

lenet.network文件,用于openmv做数字识别

2021-11-06

Multisim:振幅调制器的设计 -运放(含仿真+文档+PCB+原理图).zip

内容请看这边,合适再下载。https://blog.csdn.net/weixin_46423500/article/details/121039870 一、 设计要求 振幅调制器设计 1. 总体设计要求 设计一个振幅调制器,使其能实现AM和DSB信号调制,输出波形无明显失真。 2. 参考指标 载波频率:465KHz正弦波调制信号:1KHz正弦波 输出信号幅度(峰-峰值)≥2V

2021-10-30

8X8LED驱动(仿真文件+设计报告+PCB+视频讲解+原理图).zip

设计并制作一个8×8的LED点阵驱动电路。电路原理如图2.5所示,其中列存储器用于存储显示信息,行译码器用于选择当前显示行、通过动态扫描方式显示字符或图案。具体要求如下: (1)能够显示数字(09)或字符(AZ或az),显示数量不少于8个;(2)能够自动循环显示数字或者字符。 ★发挥部分:(1)用64个二极管搭建8×8的LED点阵。 (2)显示数字或者字符能够循环向左或者向右移动。 说明:应用中、小规模数字器件或者基于CPLD开发板设计。 参考元器件:74HC161、74HC138,AT28C16,NE555,74HC240/244,74HC573/574

2021-10-02

EWB Version 5.0和几十个历程

内含仿真运行环境,及几十个历程,比如:二阶有源宽带带阻滤波器;二阶有源窄带带通滤波器;二阶有源窄带带阻滤波器;反馈放大电路极性的判断反相电压比较器; 反相加法电路;多种NE555电路; 反向、同向运算放大器方波发生器; 方波发生器;方波信号生器; 放大器y参数等效电路;分压式偏置电路; 峰值检波器;高频电路;考题高频功放原理电路;高频功率放大器;仿真高频功率放大器;原理电路高输出阻抗恒流源电路;高增益音频放大电路;共发射极放大电路;共集电极小信号放大器;共漏放大器电路图;共模输入差分放大电路;共射-共集组合放大器;共源放大器电路;共源放大器电路图

2021-09-24

Multisim:数控脉宽脉冲信号发生器(程序+报告).zip

数控脉宽脉冲信号发生器能够在脉宽控制键的控制下,按确定的步长改变输出脉冲信号的占空比,即脉冲宽度可调,同时以占空比的形式显示脉宽情况。 一、技术指标 ①脉宽占空比可控范围:1%~99%。 ②占空比可控的步长为1%。脉宽控制键有两个:一个是“+”,一个是“-”键。每按一次“+”键,脉宽占空比增加1%;每按一次“-”键,脉宽全比减少1%。 ③具有2位占空比的数字显示。 ④输出信号f。的频率范围:1Hz~1kHz。 二、扩展指标 ①可以测试并显示输出脉冲的宽度值(最小分辨率为10us)。 ②可以测试并显示输出信号频率。 ③用开关切换测试和显示的内容,分划用3个发光二极管表示当前测量状态:占空比值、脉宽和频率值。 压缩包内含:设计文档+仿真程序

2021-09-24

基于Arduino的ESP8266天气预报设计(程序、素材).zip

压缩包包含:整体设计方案,程序+各种图片素材

2021-09-18

Multisim14:交流数字电压表的系统(设计报告+仿真文件).zip

设计方案:数字电压表(Digital Voltmeter)简称DVM,它是 采用数字化测量技术,把连续 的模拟量(直流或交流输入电 压)转换成不连续、离散的数 字形式并加以显示的仪表。它 利用A/D转换原理,将被测模 拟量转换成数字量,并用数字方 式显示测量结果的电子测量仪 表。 电气指标 (1)、被测信号频率范围: 10Hz~10kHz。 (2)、被测信号波形:正弦波。 (3)、显示数字含义:有效值。 (4)、档位:分三档: 1.0V~9.9V 0.10V~0.99V 0.010V~0.099V。 (5)、显示方式:两位数码显示。 压缩包内含:设计报告+仿真文件

2021-09-18

Multisim14:积分运算放大器.zip

NE5532积分运算放大器电路Multisim仿真 文件,打开能直接运行,亲测有效

2021-09-18

Multisim14:简易555电池内阻测试仪仿真制作.zip

文件夹内含仿真文件,下载可直接运行,亲测有效。

2021-09-18

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除