自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(66)
  • 资源 (1)
  • 收藏
  • 关注

原创 【Linux】常用内核函数

文章目录1、poll_wait函数2、atomic_long_read函数3、dma_async_issue_pending 函数4、wake_up_interruptible 函数5、memset 函数6、xilinx_vdma_channel_set_config 函数7、dmaengine_prep_interleaved_dma 函数8、copy_to_user 函数9、dmaengine_terminate_all 函数10、alloc_chrdev_region 函数11、cdev_alloc

2023-09-05 11:40:33 989

原创 【ZYNQ】Linux驱动之梦开始的地方

使用 GPIO 需要设置两个寄存器,一个是设置 GPIO 的管脚复用的 IOU_SLCR Module 寄存器,一个是设置GPIO 的管脚功能的 GPIO Module 寄存器。查询寄存器地址需要用到一个官方文档,编号的 ug1087。。ZYNQ Ultrascale+ MPSOC PS 部分的 IO 包括 PS-MIO 和 PS-EMIO,MIO 分布在 PS 的 Bank0 、BANK1 和Bank2,EMIO 分布在 PS 的 Bank3、BANK4 和 Bank5。

2023-08-01 18:51:38 875

原创 【FPGA】ILA抓取inout类型失败问题

一旦综合后的电路里面没有产生IOBuf, 那么当读取iic信号上的数据的时候, 读到的都是FF.后来经过询问高手 , 高手给我的解释是, IIC-sdat信号,因为他是inout类型,在任何其他的.v里面不能连接到。最后总结一句,如果要ILA抓取i2c_sdta 和 i2c_sclk 波形, 那么要在最底层里面,用来抓取波形。i2c_sdat_IOBUF驱动了一个或者多个无效的信号。i2c_sdat 接到了一个或者多个信号上。想在top.v里面用下面的ILA来抓取i2c_sdat的信号。

2022-10-12 09:55:07 4542 4

原创 【SOC FPGA】外设KEY点LED

默认给led和key分配的地址都是0,所以点击分配地址可解决报错。打开PlaformDesigner,右侧搜索pio并双击。cycloneⅤ的板子有四个LED,所以选择4位。连线完成后点击GenrateHDL,自动实例化。我们使用key1和key2,所以选择2位。将黄金工程的hps_0.h放入工程中。在top模块中定义接口并实例化。3、更新hps_0.h文件。新建一个Cproject。看文件时间是否更新成功。看文件时间是否更新成功。看文件时间是否更新成功。......

2022-07-19 18:48:56 734

原创 【SOC】经典输出hello world

3.在SoCEDSCommandShell中打开工程目录,执行generate_hps_qsys_header.sh文件。编译黄金工程文件,生成sof文件,时间大概在7-15分钟左右,具体时间长短根据电脑配置。1.在MobaXterm中,打开/etc/network/interfaces文件,写入。在新弹出的窗口中找到sdcard.img文件,此时,烧录地址会自动匹配到SD卡。2.运行工程目录下的输出文件中的bat脚本文件,生成二进制rbf文件。点击黄金工程中的C5MB_top.qpf文件。...

2022-07-19 17:27:09 955

原创 Linux和树莓派基础交互

C 标准库的 assert.h头文件提供了一个名为 assert 的宏,它可用于验证程序做出的假设,并在假设为假时输出诊断消息。已定义的宏 assert 指向另一个宏 NDEBUG,宏 NDEBUG 不是 的一部分。如果已在引用 的源文件中定义 NDEBUG 为宏名称,则 assert 宏的定义如下:C 库宏 - assert()描述C 库宏 void assert(int expression) 允许诊断信息被写入到标准错误文件中。换句话说,它可用于在 C...

2022-06-18 23:04:55 145

原创 树莓派无网线无显示器远程连接vnc

方法非常简单,首先在SD卡的根目录下添加一个名为 wpa_supplicant.conf的文件,然后在该文件内添加以下的内容:插入SD卡启动树莓派就能直接连接到你的WIFI网络了(切记树莓派现时只支持802.11.n的WIFI标准所以只能连接2.4G网络,所以你需要确保你所连接的是2.4G的通道而不是5G的。打开SSH方法同样很简单在树莓的官网上也有介绍,只要在新建一个名为SSH文件到SD的根目录就OK了ssh根据ip地址连接到树莓派:在我们输入密码的过程中,终端上是没有任何显示,我们不用管,输完之后

2022-06-18 22:21:07 1274

原创 【FPGA】VGA显示

VGA的全称是Video Graphics Array,即视频图形阵列,是一个使用模拟信号进行视频传输的标准。早期的CRT显示器由于设计制造上的原因,只能接收模拟信号输入,因此计算机内部的显卡负责进行数模转换,而VGA接口就是显卡上输出模拟信号的接口。如今液晶显示器虽然可以直接接收数字信号,但是为了兼容显卡上的VGA接口,也大都支持VGA标准。这里使用到了汉子点阵代码实现:运行效果:代码:效果:在前面的学习中了解到图像的格式有多种,例如JPEG,BMP,PNG,JPG等,图像的位数也有单色、16色

2022-06-11 18:15:55 488 2

原创 【FPGA】FPGA基础知识

1.下列哪个阶段仿真能仿真出芯片的延时情况( C )A.前仿真B.功能仿真C.时序仿真D.以上都不是2.在verilog HDL的assign语句建模方法一般称为( B )方法A.并行赋值B.连续赋值C.串行赋值D.函数赋值3.下列关于Moore状态机与Mealy状态机的特征描述错误的是(B )A.Moore 有限状态机输出只与当前状态有关,与输入信号的当前值无关B.从时序上看,Moore状态机属于异步输出状态机C.Mealy状态机的输出是现态和所有输入的函数,随输入变化而随

2022-05-30 09:10:56 1283 3

原创 【FPGA】使用1 bit 全加器,计算一个8 bit 数中 1 的个数,并将计算结果通过数码管显示。

文章目录一、解题思路二、代码实现三、仿真1、仿真代码2、仿真截图四、演示视频一、解题思路什么是全加器?全加器就是考虑进位的加法运算器。一位全加器有Ci-1,Ai,Bi,Si,Ci。输入端有Ci-1,Ai,Bi。Ci-1:前一次运算后是否有进位,有进位则为1,无进位则为0.Ai:被加数Bi:加数输出端:Si,CiSi:输出结果Ci:输出本次运算的进位全加器真值表:下面就8bit数值进行逻辑结果上的梳理:通过这7个全加器我们就可以得到8 bit 数中 1 的个数。二、代

2022-05-21 15:39:41 1260 2

原创 【FPGA】按键消抖

文章目录一、按键消抖概述1、为何要进行按键消抖2、消抖的方式二、系统设计1、系统模块划分2、系统时序图三、代码实现1、按键消抖模块(key_debounce)2、呼吸灯模块(led_breath)3、流水灯模块(led_waterfall)4、按键控制模块5、顶层文件四、效果展示五、仿真设计1、仿真文件2、波形图一、按键消抖概述1、为何要进行按键消抖2、消抖的方式二、系统设计1、系统模块划分按键和LED是不同的外设,这里划分模块时,可以将按键消抖设计为一个模块,LED控制设计为一个模块。

2022-05-19 18:22:53 4427

原创 【FPGA】状态机简单实现

文章目录一、任务一1.1需求分析1.2实现二、任务二2.1需求分析2.2实现三、总结一、任务一1、根据以下描述功能用verilog编写一段代码,并用状态机来实现该功能。(1)状态机:实现一个测试过程,该过程包括启动准备状态、启动测试、停止测试、查询测试结果、显示测试结果、测试结束返回初始化6个状态;用时间来控制该过程,90秒内完成该过程;(2)描述状态跳转时间;(3)编码实现。1.1需求分析实现六个状态,并且用时间来控制这个过程,说简单一点,就是根据时间切换六个状态下面我们用状态机实现HE

2022-05-11 22:28:59 507

原创 【Linux】MySQL简单操作

##一、准备工作1、启动MySQLservice mysql start2、确认是否启动成功,mysql节点处于LISTEN状态表示启动成功sudo netstat -tap | grep mysql3、显示数据库show databases;4、建库create database 数据库名;5、进入数据库use 数据库名;6、建立学生表7、建立课程表插入数据:二、查询查询选择了“云计算与大数据处理”课程同学在2021年春的平均成绩。...

2022-04-12 16:20:01 140

原创 【FPGA】分频器

文章目录偶数分频器分频器分频器是数字系统设计中最常见的基本电路之一。所谓“分频”,就是把输入信号的频率成倍数的低于输入频率的输出信号。分频器分为偶数分频器和奇数分频器,和计数器非常类似,有时候甚至可以说就是一个东西。偶数分频器这里用六分频器作为例子波形图:代码:module divider_six(input wire sys_clk,input wire sys_rst_n,output reg clk_out);reg [1:0] cnt;always@(pose

2022-04-10 20:26:51 2503

原创 【Linux】MySQL常用命令

1、启动MySQLservice mysql start2、确认是否启动成功,mysql节点处于LISTEN状态表示启动成功sudo netstat -tap | grep mysql3、显示数据库show databases;4、建库create database 数据库名;5、进入数据库use 数据库名;6、显示数据库中的表show tables;7、建表create table 表名 (字段设定列表);查看表结构:describe 表明;

2022-03-28 20:32:49 1300

原创 【FPGA】初识Verilog

文章目录Verilog常用关键字逻辑值变量参数常量赋值方式always语句assign语句算术运算符归约运算符、按位运算符逻辑运算符逻辑运算符Verilog常用关键字逻辑值0:逻辑低电平,条件为假1:逻辑高电平,条件为真z:高阻态,无驱动x:未知逻辑电平(不存在)module:模块开始(模块名一般和文件名字相同)endmodule:模块结束input //输入信号关键字output //输出信号关键字inout //输入输出信号变量wir

2022-03-23 15:59:46 590

原创 【FPGA】Quartus-II实现D触发器的三种方式

文章目录一、在Quartus-II中自己用门电路设计一个D触发器及仿真1、创建工程2、编译原理图文件3、创建vwm格式波形文件一、在Quartus-II中自己用门电路设计一个D触发器及仿真1、创建工程File->New Project Wizard选择存储路径和工程名称选择芯片类型和型号项目整体信息:File->New选择4个nand2选择一个not整体效果:选择合适的线将其连接整体效果如下:保存并编译;2、编译原理图文件

2022-03-13 19:18:54 828

原创 Ubnutu18.04安装MySQL

文章目录一、安装MySQL二、MySQL常用操作一、安装MySQL使用以下命令即可进行mysql安装,注意安装前先更新一下软件源以获得最新版本:sudo apt-get update #更新软件源sudo apt-get install mysql-server #安装mysql查看MySQL版本:mysql --version启动MySQL:service mysql start确认是否启动成功,mysql节点处于LISTEN状态表示启动成功:sudo ne

2022-03-07 11:51:44 159

原创 数字图像与机器视觉基础补充(2)

文章目录一、将彩色图像文件转换为灰度文件1、使用opencv2、不使用opencv二、将彩色图像(RGB)转为HSV、HSI 格式。1、将彩色图像(RGB)转换为HSV格式2、将彩色图像(RGB)转换为HSL格式三、将车牌数字分割为单个的字符图片四、总结五、参考链接一、将彩色图像文件转换为灰度文件1、使用opencv代码:import cv2 as cv# 路径为英文image = cv.imread('hh.JPG')# 将图片转为灰度图gray_image = cv.cvtColo

2021-12-31 18:13:20 72

原创 VLC保存网络流视频

一、VLC保存网络流视频打开VLC点击媒体输入URLrtsp://wowzaec2demo.streamlock.net/vod/mp4:BigBuckBunny_115k.mov点击下一步选择文件夹配置文件选择点击流稍等一会效果:

2021-12-30 20:00:30 4969

原创 采用telnet手工命令登录QQSMTP服务和POP服务方式收发邮件

文章目录一、开启SMTP和POP服务二、SMTP发送邮件三、POP查看邮件四、总结五、参考链接一、开启SMTP和POP服务进入QQ邮箱设置,点击账户设置,开启以下服务。二、SMTP发送邮件打开命令行界面,输入 telnet smtp.qq.com 25,进入服务器输入helo qq.com输入auth login登录账户,返回334 VXNlcm5hbWU6 输入邮箱的base编码,要把后面的域名加上,base64转码网站,成功后返回如下334 VXNlcm5hbWU

2021-12-30 18:03:50 1783

原创 STM32+W5500网络通信

文章目录一、w5500简介二、modbus通信三、代码四、总结五、参考链接一、w5500简介这个网络芯片通过硬件实现了TCP/IP协议栈,10/100M以太网数据链路层(MAC)及物理层(PHY);支持TCP、UDP、IPv4、ICMP、ARP、IGMP以及PPPoE。内嵌32K字节缓存。MCU通过SPI与其通讯来配置网络及进行网络通信,SPI速率达80MHz。其上提供多达8个独立的socket(套接字),编号0-7,这个socket和平常所说的socket稍微有点差别。对于UDP,设置对应UDP后的

2021-12-28 19:26:42 3268 1

原创 CLion 基于CubuMX实现 stm32F103C8 点亮 LED

文章目录一、准备工作1、CLion简介2、CLion安装3、安装GCC4.安装OpenOCD5.配置CLion二、在CLion中使用CubeMX三、总结四、参考资料一、准备工作1、CLion简介Clion是一款专门开发C以及C++所设计的跨平台的IDE。它是以IntelliJ为基础设计的,包含了许多智能功能来提高开发人员的生产力。这种强大的IDE帮助开发人员在Linux、OS X和Windows上来开发C/C++,同时它还能使用智能编辑器来提高代码质量、自动代码重构并且深度整合Cmake编译系统,从而

2021-12-21 14:50:27 366

原创 基于chrome浏览器的selenium自动化测试和爬取名言和京东商品信息

文章目录一、selenium介绍二、准备工作1、下载selenium2、下载chrome浏览器驱动三、自动化测试四、爬取名言五、爬取淘宝商品信息六、总结七、参考链接一、selenium介绍Selenium是一系列基于Web的自动化工具,提供一套测试函数,用于支持Web自动化测试。函数非常灵活,能够完成界面元素定位、窗口跳转、结果比较。具有如下特点:一、多浏览器支持 可以对多浏览器进行测试,如IE、Firefox、Safari、Chrome、Android手机浏览器等。二、支持多种语言

2021-12-17 19:52:51 1984

原创 IO、NIO、Netty的基本了解并编写聊天程序

文章目录一、介绍1、传统IO特点2、NIO的特点3、Netty简介二、IO示例三、NIO示例四、Netty示例五、总结六、参考链接一、介绍1、传统IO特点(1)代码执行时会存在两个阻塞点: server.accept(); 等待链接 inputStream.read(bytes); 等待输入(2)单线程情况下只能为一个客户端服务;(3)用线程池可以有多个客户端连接,但是非常消耗性能;(4)使用传统的I/O程序读取文件内容, 并写入到另一个文件(或So

2021-12-14 20:31:52 766

原创 【无标题】

二、安装 CLion20211 、安装环境Win10 系统 64 位2 、下载安装官网下载:CLion2021.2 Winx64https://www.jetbrains.com/zh-cn/clion/download/#section=windows打开运行下载的 JetBrains CLion v2021.2 Winx64.exe 安装文件,点击 Next选择安装目录,点击 Next选中如下选项,点击 Next点击 Install,开始安装安装完成3 、安装配置 a

2021-12-13 22:00:40 148

原创 数字图像与机器视觉基础补充(1)

文章目录一、位图文件分析1. 什么是位图2. BMP位图文件3. BMP文件结构4.位图文件头5. 位深度6. 不同位深度图像对比二、对比不同文件格式的图片文件大小三、基于奇异值分解(SVD)提取图片特征值四、开闭运算检测图像中硬币和细胞的个数1. 检测硬币数量2. 检测细胞数量五、总结六、总结一、位图文件分析1. 什么是位图位图图像(bitmap),亦称为点阵图像或栅格图像,是由称作像素(图片元素)的单个点组成的。这些点可以进行不同的排列和染色以构成图样。当放大位图时,可以看见赖以构成整个图像的无数

2021-12-10 16:57:46 2393

原创 使用示波器和keil仿真逻辑仪分析串口波形

一、使用软件 & 硬件keil5,logic1.1.30和真实逻辑仪(SaleaeLogic16)二、keil仿真使用打开一个项目,什么项目都可以,只要能向上位机发送信息就行点击仿真,进入仿真调试点击系统分析窗口的第一个逻辑分析添加引脚添加后把展示类型设为bit点击运行,这边的波形自然就会出来,可以看到每隔一秒左右发送一次信息看一下代码里控制发信息的模块,可以看到上面逻辑分析界面的时间间隔与我们代码里基本一致三、使用真实逻辑仪查看这个硬件也是通过us

2021-12-04 18:06:22 141

原创 基于实时时钟RTC实现STM32F103C8的日历读取、设置和输出

文章目录一、什么是RTC二、使用STM32CubeMX建立工程三、代码部分四、总结五、参考链接一、什么是RTCRTC (Real Time Clock):实时时钟RTC是个独立的定时器。RTC模块拥有一个连续计数的计数器,在相应的软件配置下,可以提供时钟日历的功能。修改计数器的值可以重新设置当前时间和日期 RTC还包含用于管理低功耗模式的自动唤醒单元。在断电情况下 RTC仍可以独立运行 只要芯片的备用电源一直供电,RTC上的时间会一直走。RTC实质是一个掉电后还继续运行的定时器,从定时器的角度来看

2021-12-03 15:08:29 5848 1

原创 STM32F103C8T6基于HAL库移植uC/OS-III

文章目录一、基于STM32CubeMX建立工程二、获取uC/OS-III源码三、代码移植一、基于STM32CubeMX建立工程配置RCC配置SYS配置PC13为GPIO_Output配置USART1生成代码二、获取uC/OS-III源码官网下载地址:https://www.silabs.com/developers/micrium三、代码移植...

2021-12-01 20:15:19 111

原创 游戏客户端编程

文章目录一、任务目标二、连接服务器三、客户端向服务器发送数据四、实现背景音乐的播放功能六、总结一、任务目标编写一个网游客户端,游戏服务器的校园内网IP地址为 10.1.230.74,端口为3900,采用TCP连接。1.连接成功后,可以将服务器发来的消息不停地显示在 listbox 中;2.客户端要发给服务器的数据,通过 textbox输入 或者点击button;3.能够播放背景音乐;4.每隔30秒,变换一次游戏背景图片。二、连接服务器先为界面创建一个TCPClient对象属性,在窗体初始

2021-11-28 16:22:07 3149

原创 【STM32F103】基于I2C协议的AHT20温湿度传感器的数据采集

文章目录一、什么是“软件I2C”和“硬件I2C”?二、通过AHT20采集温湿度1. AHT20芯片信息2. 代码准备:3.编译烧录4、串口调试三、总结四、参考链接一、什么是“软件I2C”和“硬件I2C”?硬件I2C对应芯片上的I2C外设,有相应I2C驱动电路,其所使用的I2C管脚也是专用的,因而效率要远高于软件模拟的I2C;一般也较为稳定,但是程序较为繁琐。硬件(固件)I2C是直接调用内部寄存器进行配置;而软件I2C是没有寄存器这个概念的。软件I2C一般是使用GPIO管脚,用软件控制SCL,SDA线

2021-11-26 16:46:13 141

原创 TCP/UDP编程基础

文章目录一、 UDP介绍:二、C#实现hello world1、创建项目2、代码3、主机间使用UDP通信4. 使用Wireshark进行抓包三、Form窗口程序使用 UDP 通信1、新建项目2、界面设计4. 使用Wireshark进行抓包四、总结一、 UDP介绍:用户数据报协议,属于传输层的协议,无连接,不保证传输的可靠性。对于来自应用层的数据包,直接加上UDP报头然后传送给IP。UDP头部中有一个校验和字段,可用于差错的检测,但是UDP是不提供差错纠正的。此外IPV4不强制这个校验和字段必须使用,但I

2021-11-24 21:00:38 214

原创 通过Wireshark抓包疯狂聊天程序聊天记录

文章目录一、WireShark 简介二、抓取聊天网络数据包1.设备连接2. 使用wireshark进行抓包3. 测试分析三、总结四、参考链接一、WireShark 简介Wireshark是一个网络封包分析软件。网络封包分析软件的功能是撷取网络封包,并尽可能显示出最为详细的网络封包资料。Wireshark使用WinPCAP作为接口,直接与网卡进行数据报文交换。WireShark可以比喻做硬件工程的万用表、示波器,同样我们网络工程师或者软件工程师可以利用wireshark来进行分析网络。wireshark

2021-11-20 21:05:19 5322

原创 网络爬虫入门练习

文章目录一、网络爬虫介绍二、示例演示1、爬取南阳理工学院ACM题目网站(1) 网页分析(2) 内容爬取2、爬取重庆交通大学新闻通知(1) 网页分析(2)内容爬取三、总结四、参考链接一、网络爬虫介绍随着网络的迅速发展,万维网成为大量信息的载体,如何有效地提取并利用这些信息成为一个巨大的挑战。搜索引擎(Search Engine),例如传统的通用搜索引擎AltaVista,百度,Yahoo!和Google等,作为一个辅助人们检索信息的工具成为用户访问万维网的入口和指南。但是,这些通用性搜索引擎也存在着一定的

2021-11-20 20:53:43 189

原创 基于LibSVM实现支持向量机核函数训练并写出决策函数

文章目录二、LIBSVM的下载及安装三、基于LIBSVM处理手工生成数据集1. 启动LIBSVM2. 手工绘制数据集3. 利用LIBSVM训练模型并求出决策函数使用线性核训练模型使用多项式核训练模型四、总结五、参考链接二、LIBSVM的下载及安装官网下载地址:https://www.csie.ntu.edu.tw/~cjlin/libsvm/解压之后如下图:三、基于LIBSVM处理手工生成数据集1. 启动LIBSVM双击打开:2. 手工绘制数据集鼠标左键进行描点点击chan

2021-11-18 18:28:50 357

原创 利用dlib和opencv建立人脸识别数据集并进行人脸识别

一、dlib和opencv建立请移步:基于dlib库实现人脸特征值提取二、人脸图像采集并储存采集20张人脸照片并储存在D:/renlian/p1中import cv2import dlibimport osimport sysimport random# coding:utf-8# 存储位置output_dir = 'D:/renlian/p1`'size = 1080if not os.path.exists(output_dir): os.makedirs(outp

2021-11-17 18:14:00 2568

原创 Linux下点阵汉字的字模读取与显示

文章目录一、实验目的二、汉字的机内码、区位码编码规则和字形数据存储格式(一)国标码(交换码)1.简介2.举例(二)汉字机内码1.汉字机内码简介2.例子(三)汉字区位码1.简介2.GB2312字符集中区位码位置(四)汉字机内码、国标码和区位码三者关系1.三者的关系2. 运算规则(五)汉字字形储存格式1. 点阵字库存储2. 16*16点阵字库三、Ubuntu下调用opencv库编程显示图片和学号姓名四、总结五、参考链接一、实验目的学习理解汉字的机内码、区位码编码规则和字形数据存储格式。在Ubuntu下用C

2021-11-12 21:22:38 1576

原创 串口之间通信

文章目录一、实验要求二、实验过程一、实验要求串口传输文件的练习。将两台笔记本电脑,借助 usb转rs232 模块和杜邦线,建立起串口连接。然后用串口助手等工具软件(带文件传输功能)将一台笔记本上的一个大文件(图片、视频和压缩包软件)传输到另外一台电脑,预算文件大小、波特率和传输时间三者之间的关系,并对比实际传输时间。二、实验过程准备两台电脑,下载好串口调试助手,用于接收和发送显示文件。两个串口线连接这两台电脑。打开串口调试助手,开始实验。...

2021-11-12 21:04:13 4463

原创 基于dlib库实现人脸特征值提取

一、安装Dlib库管理员运行cmd,使用pip安装pip install dlib二、OpenCV安装使用pip安装pip3 install opencv_python三、提取人脸特征点import dlibimport numpy as npimport cv2predictor = dlib.shape_predictor("D:\\test\\shape_predictor_68_face_landmarks.dat")# predictor_path = "shape

2021-11-12 20:02:01 3836

STM32F407ZE火焰报警器+蜂鸣器

通过火焰传感器可以实现火焰的报警。

2021-11-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除