自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(55)
  • 资源 (3)
  • 收藏
  • 关注

原创 BUFGMUX使用注意事项

有时我们的系统有多路异步数据源经过FPGA片选后,再进入至数据处理器。功能很简单,利用case...when语句就能将数据选通。 因为时钟需要低延时,低偏斜,而使用LUTs会导致延时和偏斜不可控。

2023-06-27 17:41:07 412

转载 FPGA数字图像显示原理与实现

视频图像经过数十年的发展,已形成了一系列的规范,以VGA和HDMI为主的视频图像接口协议也得到定义与推广。尽管DP、DVI、Type-C等图像接口技术近年来得到了推广与应用,且VGA传输性能发展逐渐落后于时代,但作为显示器和显卡等设备的通用显示接口,VGA和HDMI仍是当前主流的视频图像接口技术。

2023-04-05 13:05:07 1243 1

转载 FPGA数字图像处理基础

数字图像(Digital Image),是计算机视觉与图像处理的基础,区别于模拟图像。通常直接观测到的图像可以理解成连续的模拟量,模拟量在处理时涉及运算相对复杂,内部相关性较高,难以形成统一定量的标准。

2023-04-05 12:52:27 3381

转载 2、Verilog实现流水灯及与C语言的对比

编写四个LED流水的Verilog代码并用ModelSim进行仿真,仿真通过以后下载到开发板进行测试,要求开发板上每个LED亮的时间为1s。

2023-03-09 16:17:00 712

转载 【无标题】1、软件与Verilog基本格式规范说明

本来之前一直在用7系列的FPGA,但是目前手头只有一块Spartan-6系列的二手开发板,所以代码的验证都在Spartan-6上验证,但好在FPGA的结构基本类似,Verilog的代码基本可以通用。这里先写一部分,后面如果有补充的在加上。5、所有常量(parameter变量)以大写C加下划线开始,然后后面内容的一律用大写以示与变量的区别,信号比较长的话,后面的大写用下划线隔开。

2023-03-09 16:02:40 196

转载 QSPI Flash的原理与QSPI时序的Verilog实现

本节主要是讨论QSPI(Quad SPI,四线SPI总线)的相关内容

2023-03-09 11:48:34 3409 1

转载 FPGA远程固件在线升级

一般在代码开发阶段,我们使用JTAG烧写代码,但当产品投入到实际使用过程中,难以再用JTAG进行固件更新。所以需要开发远程/在线更新的功能,即使用产品自带的通讯接口(如串口,以太网接口等)传输待更新的固件包,实现固件更新升级的功能。

2023-02-22 09:27:29 4756 4

转载 一位十几年资深FPGA工程师的开发心得

一位十几年开发经验的资深FPGA工程师的开发心得

2023-02-09 10:08:02 1718

转载 基于FPGA的图像的非线性滤波:中值滤波

在图像预处理中,最基础也最重要的处理方法是图像滤波与增强。图像滤波可以很好地消除测量成像或者环境带来的随机噪声、高斯噪声和椒盐噪声等。图像增强可以增强图像细节,提高图像对比度。

2022-12-16 16:17:37 1048 2

转载 基于FPAG的图像的直方图均衡化

直方图均衡化又称为灰度均衡化,是指通过某种灰度映射使输入图像转换为在每一灰度级上都有近似相同的输出图像(即输出的直方图是均匀的)。在经过均衡化处理后的图像中,像素将占有尽可能多的灰度级并且分布均匀

2022-12-16 16:09:54 230

原创 FPGA底层资源综述

FPGA底层资源主要是Slices、IOBs、Memory、Multipliers、Global clock buffers等等,下面对各类资源进行详细的解读。

2022-09-29 15:31:04 2137

转载 Xilinx-7Series-FPGA高速收发器使用学习—RX接收端

GTX RX接收端的结构和TX发送端类似,数据流方向相反,不过和发送端也有一些区别

2022-09-06 14:11:24 646

转载 Xilinx-7Series-FPGA高速收发器使用学习—TX发送端

每一个收发器拥有一个独立的发送端,发送端有PMA(Physical Media Attachment,物理媒介适配层)和PCS(Physical Coding Sublayer,物理编码子层)组成,其中PMA子层包含高速串并转换(Serdes)、预/后加重、接收均衡、时钟发生器及时钟恢复等电路。

2022-09-06 14:04:59 854

转载 Xilinx-7Series-FPGA高速收发器使用学习—概述与参考时钟

Xilinx的7系列FPGA根据不同的器件类型,集成了GTP、GTX、GTH以及GTZ四种串行高速收发器,四种收发器主要区别是支持的线速率不同,图一可以说明在7系列里面器件类型和支持的收发器类型以及最大的收发器数量。

2022-09-06 13:57:51 1119 1

转载 Serdes 1_to_7_sdr 解读

高速串行通信经常需要用到 XILINX FPGA 内部专用的 SERDESE 模块来实现串并转换。 LVDS 配合 SERDESE可以充分发挥 FPGA 的高速接口优势。 SERDESE 分输入和输出,输入采用 ISERDESE, 输出采用 OSERDESE,OSERDESE 的使用要比 ISERDESE 简单。

2022-09-06 13:47:34 1864

转载 基于FPGA的高清视频采集系统设计

设计了一种基于HDMI接口的全高清(分辨率1 920×1 080)视频采集与显示系统,该系统以Xilinx公司Spartan6系列FPGA作为控制芯片,采用500万像素级别CMOS摄像头OV5640作为前端数据源,能够采集全高清视频信号。

2022-08-19 15:30:27 2188

原创 Sony IMX118sensor驱动与视频数据处理

sony4K分辨率sensor驱动与视频数据处理

2022-08-18 14:11:44 2700

原创 VESA视频信号解析

VESA(Video Electronics Standards Association)是指视频电子标准协会,此标准规定了电脑(VESA)制式的各种分辨率和刷新频率的显示监视器定时标准(简称为 VESA 标准)。

2022-05-17 11:43:51 5367 4

转载 SEREDS解串模块简介以及硬件实现

SERDES是英文SERializer(串行器)/DESerializer(解串器)的简称,即在发送端多路低速并行信号被转换成高速串行信号,经过传输媒体,最后在接收端高速串行信号重新转换成低速并行信号。利用传输媒体的信道容量,减少所需的传输信道和器件引脚数目,提升信号的传输速度,从而大大降低通信成本。发送器和接收器完成擦划分信号的发送和接收,其中LVDS和CML是最常用的两种差分信号标准。LVDS(Low_Voltage Differential Signaling)低电压差分信号1994年由美国国家半

2022-04-22 16:08:21 821

转载 深入浅出理解SerDes

在高速时代的今天,一些高速总线,如LVDS、MIPI、SERDES、SATA、USB等等,而我们在学习或者研究任何一种总线的时候,都要考虑这些总线的区别,才能在后续使用的过程中更好的进行应用。

2022-04-11 18:01:11 3485

转载 HDMI输入采集(AXI4 FDMA数据缓存)

本文编写的uivbuf ip 配合uifdma ip 实现摄像头图像的多缓存方法。

2022-04-07 16:41:30 1630

原创 SDI接口(总结)

SDI(serial digital interface)数字分量串行接口,有三种不同的标准分别为标准清晰度SD-SDI、高清标准HD-SDI和3G-SDI,对应速率分别是270Mb/s、1.485Gb/s和2.97Gb/s。

2022-03-11 11:36:30 8180 1

转载 跨时钟域处理方式

fpga跨时钟域处理

2022-03-10 15:32:39 2874

原创 vivado约束BANK电平冲突

vivado bank约束电平冲突

2022-03-08 20:33:58 6399

原创 AD原理图器件镜像翻转

AD原理图镜像翻转快捷方式

2022-03-03 20:32:02 46521 3

原创 [Synth 8-685] variable ‘reg_clkd1‘ should not be used in output port connection

[Synth 8-685] variable ‘reg_clkd1’ should not be used in output port connectionvio模块端口输出不能到REG寄存器,可以重新定义一个 wire 型,与你想控制的逻辑相或,逻辑功能一样。

2022-02-23 21:51:59 5011

原创 GT Transceiver的配置-Transceiver复位的两种类型和两种模式

GT Transceiver的配置-Transceiver复位的两种类型和两种模式一. 复位概述在 fpga 器件启动和配置完毕后,必须对 gtx/gth 收发模块进行初始化,才能使用。如下图所示,GTX/GTH发射器(TX)和接收器(RX)可以独立和并行地进行初始化。复位以及初始化步骤GTX/GTH收发器的TX和RX初始化包括两个步骤。(1)初始化驱动TX/RX的相关PLL初始化TX和RX数据路径(PMA + PCS)(2)GTX/GTH收发器TX和RX可以从QPLL或CPLL接收时钟。

2022-02-23 21:41:20 1019

原创 GT Transceiver的配置-RXOUTCLK的来源及生成

GT Transceiver的配置-RXOUTCLK的来源及生成RX时钟分频控制块有两个主要部分:串行时钟分频器控制和并行时钟分频器和选择器控制。时钟分频器和选择器的细节见图。前面的文章中,已经介绍了TXOUTCLK的来源及其生成。这篇文章可以算是其姊妹篇,介绍RXOUTCLK的来源。为何要追溯其来源,这是因为我们上篇介绍USRCLK的文章中提到RXUSRCLK是由RXOUTCLK驱动的,其中一段话是这样的:(1)如果通道被配置成由同一个振荡器驱动发射器和接收器的参考时钟,TXOUTCLK可以用来驱

2022-02-23 20:44:53 1027

原创 GT Transceiver的配置-RXUSERCLK和RXUSER_CLK2的生成

GT Transceiver的配置-RXUSERCLK和RXUSER_CLK2的生成一. USRCLK以及USRCLK2必须成双成对,由之前讲到的TXUSERCK以及TXUSRCLK2,那TX端必须有对应的结构,与对应的时钟RXUSRCLK以及RXUSRCLK2.以及其来源RXOUTCLK。二.1. RX架构框图首先,先给出RX端的架构框图:RX块电路框图RXUSERCLK以及RXUSRCLK2就出现在右侧RX 接口。FPGA RX接口是GT Transceiver的RX数据路径通道。应用

2022-02-23 20:40:56 964

原创 GT Transceiver的配置-QPLL的工作原理

GT Transceiver的配置-QPLL的工作原理每个QUAD都包含一个QPLL,QPLL可以被同一个Quad内的transceiver共享,但是不能被其他Quad内的transceiver共享。当以高于CPLL操作范围的线速率操作通道时,需要使用 QPLL。GTXE2_COMMON 原语封装了 GTX QPLL,并且必须在使用 GTX QPLL时实例化。QPLL输出为同一Quad内的每个transceiver的TX和RX时钟分频器块提供信号,该块控制PMA和PCS块使用的串行和并行时钟的生成。

2022-02-23 20:36:53 864

原创 GT Transceiver配置-CPLL的工作原理

GT Transceiver配置(1)-CPLL的工作原理Transceiver内部时钟架构如下:Transceiver内部时钟来源可以是QPLL也可以是自己的CPLL。其内部TX 和 RX 时钟分频器可以单独从 QPLL 或 CPLL 中选择时钟,允许 TX和 RX 数据通道使用不同的参考时钟输入在异步频率工作。这个单独二字表明了TX和RX可以独立的选择时钟分频器的时钟来源。CPLL的 输出输入到 TX 和 RX 时钟分频器模块,控制 PMA 和 PCS 模块使用的串行和并行时钟的生成。如果

2022-02-23 20:31:51 1289 1

原创 PAL视频时序

PAL视频时序PAL模拟信号1.PAL技术指标:每帧行数 :625扫描方式 :2:1隔行扫描扫描顺序:水平行:自左至右;垂直场:自上至下;奇偶场:奇场在前,偶场在后行频 :15625 hz = 625行/帧ⅹ25帧/秒图像宽高比:4:3视频带宽:6MHz每行采样样本N:13.5MHZ/(625*25)=864图1 单行信号的解析图2 实际仿真对应波形行周期(h):64us行消隐脉冲宽度(a):12us+/-0.3us行同步前沿至行消隐后沿时间间隔(b):10.5us行消隐脉.

2022-02-21 11:43:32 3224

原创 vivado对mcs文件固化

这里写自定义目录标题欢迎使用Markdown编辑器新的改变功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入1.在器件上鼠标右键/add configuration memory ** 进入添加flash的界面。2.选择对应的f

2022-02-14 17:32:55 2819 1

原创 视频时序学习总结

视频时序下图是1920*1080 60hz视频时序关系,主要包含DE信号与HSYNC信号和VSYNC定量关系:Htotal = Hactive + Hsync + HfrontPorch + HbackPorchVtotal = Vactive + Vsync + VfrontPorch + VbackPorchHblank=Hsync + HfrontPorch + HbackPorchVblank= Vsync + VfrontPorch + VbackPorc时序波形关系如图1所示。.

2022-01-10 13:24:50 6253

原创 隔行扫描信号与逐行扫描信号转换

隔行扫描信号与逐行扫描信号转换理论(1) 场的概念。隔行扫描视频的每帧画面均包含两个场,每一个场又分别含有该帧画面的奇数行扫描线或偶数行扫描线信息,分别叫奇数场或偶数场。(2) 去隔行处理。为了能够在 LCD显示器上显示任意的隔行视频,需要进行去隔行处理。每一帧被压缩为一组像素。图1显示了一帧中的象素是怎样组成两个场的。每一场都记录了在时间上分开的像素值。如图1所示。图1 一帧中两个隔行扫描信号通过奇偶场信号合并方法,当画面没有运动时,奇数场和偶数场信号合并为一帧画面,如下图所示。图2 静.

2022-01-10 13:16:19 2544

原创 MMCM与PLL的区别

锁相环是一种反馈控制电路,其特点是利用外部输入的参考信号控制环路内部震荡信号的频率和相位。因为锁相环可以实现输出信号频率对输入信号频率的自动跟踪,所以锁相环通常用于闭环跟踪电路。锁相环在工作的过程中,当输出信号的频率与输入信号的频率相等时,输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住,这就是锁相环名称的由来。Xilinx 7 系列器件中具有时钟管理单元 CMT 时钟资源,xc7z020 芯片内部有4个 CMT,xc7z010 芯片内部有2个 CMT。时钟管理单元 CMT 的总体

2022-01-10 13:12:02 2618

原创 FPGA中LUT初步学习

LUT的概念与使用。LUT(Look-Up-Table),本质上就像SRAM(静态随机存储器),根据输入信号查找一个对应的地址然后找到并输出该地址所对应的数据内容。通过烧写文件预存改变查找表内容的方法来实现对FPGA的重复配置。组成与层次每个可配置逻辑模块CLB(Configurable Logic Block)包含两个slices,每个slices由4个(A,B,C,D)6输入LUT和8个寄存器组成(中间应该还有一些选择器、与非门、或非门)同一CLB中的两片slices没有直接的线路连接,分属于两个

2022-01-10 13:08:21 2251

原创 3D-LUT基本实现原理

3D-LUT基本实现原理LUT全拼为Look-Up-Table,既显示查找表。本质是一个RAM,每当输入一个信号就是输入一次地址进行查表,找出地址对应的内容并输出,对于显示器来说能起到颜色空间转换的作用。3D LUT可以在立体色彩空间中描述所有颜色点的准确行为,所以可以处理显示的非线性属性,也可以准确地处理颜色突然的大幅变动等问题。3D LUT适合用于精确的颜色校准工作,因为它们能够处理显示校准的问题,从简单的gamma值、颜色范围和追踪错误,到修正高级的非线性属性、颜色串扰、色相、饱和度、亮度等..

2022-01-10 13:05:58 8946

原创 VBY1视频信号

VBY1视频信号概述V-by-One HS除了包含SerDes技术以外,还采用了时钟信号恢复等技术,使得每对线的最大传输速度达到了3.75Gbp,并解决了时滞问题,同时还降低了EMI干扰及功耗。此外,由于传输信号对数的减少,使得配线及连接器的用量相应减少进而可以实现整体成本的降低。•V-by-One HS的开发目的,是为了替代大尺寸液晶显示器图像输入信号VESA标准规格的LVDS技术。•由于导入了均衡器功能,使得信号传输品质优于以往的LVDS技术。•由于采用了时钟信号恢复技术,解决了在LVDS方.

2022-01-10 13:01:20 4493

原创 pango软件与modelsim联合仿真库编译失败问题

pango库编译路径与modelsim仿真路径一致,无法正常进行库编译操作。路径设置情况如图1所示:图1 库编译路径与仿真路径在同一根目录软件在compiling过程中报“vlog.exe已停止工作”关闭程序之后库编译报错,如图2所示:图2 库文件编译过程中报错pango软件与modelsim不同路径进行库文件编译仍然无法通过,软件在编译过程中报与图2同样错误。Error内容现实为modelsim路径下文件出错,随后无边继续进行库文件与仿真文件联合编译,报错内容如图3所示:图3 库文件编译报..

2022-01-10 12:59:22 1539 3

基于PYNQ-Z2的基础开发实验指导书

基于PYNQ-Z2的基础开发实验指导书

2024-03-12

AFE5809数据手册

AFE5809数据手册

2024-03-12

FPGA水声信号采集处理文献文档合集

FPGA水声信号采集处理文献文档合集

2023-12-10

fpga图像处理相关论文文献合集

图像算法处理论文文献合集

2023-12-10

spi协议master模式下配置芯片寄存器

spi协议master模式下配置芯片寄存器

2022-11-03

用于TFT-LCD中FRC算法的研究与实现.pdf

用于TFT-LCD中的算法的研究与实现

2021-08-18

DHT11温度模块湿度模块温湿度模块传感器 DHT11传感器(送杜邦线).7z

DHT11温度模块湿度模块温湿度模块传感器原理图与测试程序

2021-03-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除