自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(15)
  • 资源 (4)
  • 收藏
  • 关注

原创 USART串口控制外设

#include “stm32f10x.h”#include “LQ12864.h”#include “adc.h”#include <stdio.h>#include “bsp_clkconfig.h”#include “bsp_mcooutput.h”#include “bsp_SysTick.h”#include “usart.h”void main_delay(u32 ms){int i, j;for(i = 0; i < ms; i++){for(j =

2020-06-30 21:20:01 907

原创 Hadoop环境的安装与熟悉

一、实验目的1、熟悉常用的Linux操作和Hadoop操作。二、实验平台1、操作系统: Linux2、Hadoop版本: 2.7.1三、实验步骤和内容(一)熟悉常用的Linux操作(1) cd命令:切换目录●切换到目录/usr/local●返回到目前的上层目录●返回到自己的主文件夹(2) ls命令:查看文件与目录●查看 目录/usr下的所有文件(3) mkdir命令:新建新目录●进入/tmp目录, 创建一个名为exampple的目录,并查看目录的数目●创建目录test

2020-05-18 10:02:56 271

原创 浅谈ARM 的异常处理

ARM 的异常处理当异常中断发生时,系统执行完当前指令后,将跳转到相应的异常中断处理程序处执行。当异常中断处理程序执行完成后,程序返回到发生中断指令的下条指令处执行。在进入异常中断处理程序时,要保存被中断程序的执行现场,从异常中断处理程序退出时,要恢复被中断程序的执行现场。1、引起异常的原因对于ARM核,可以且只能识别7种处理器异常,每种异常都对应一种ARM处理器模式,当发生异常时,ARM处...

2020-04-22 11:19:24 1248

原创 思科实现不同局域网的划分与访问

Switch>enSwitch>Switch>enSwitch#conf tEnter configuration commands, one per line. End with CNTL/Z.Switch(config)#hostname dzydzy(config)#vlan 100dzy(config-vlan)#vlan 200dzy(config-...

2020-04-20 22:11:38 568

转载 浅谈无线传感器和无线传感器网络

目录背景无线传感器网络的组成和结构常见传感器的分类无线传感器的组成无线传感器网络的组成无线传感器网络的拓扑结构无线传感器网络的应用领域无线传感器网络的特点无线传感器网络基本特点无线传感器网络设计的目标无线传感器网络中的关键技术背景本文作者: Hdawen因为超大规模集成电路 (VLSI) 以及微机电系统科技 (MEMS technology) 等硬件基础以及radio frequency (...

2020-04-12 19:08:11 8733 3

原创 VHDL实现优先排队电路

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity paidui isport(a,b,c:in std_logic;aout,bout,cout:out std_logic );end entity paidui;architecture art of paidui iss...

2020-04-09 21:46:32 1020

原创 浅谈工业革命的云计算

云计算产生背景:1.驱动力:技术驱动、需求驱动、商业模式的转变2.网络数据流的爆发式增长3.传统的计算服务的致命缺陷:-IT复杂度高(资源利用率低、业务恢复时间长、工程师手工分配资源效率低、运维方式复杂)-商业迟缓:大数据处理能力差,不能有效地提升商业嗅觉;资源无法弹性分配;传统IT生命周期太短,不能有效支撑企业全生命周期发展;数据中心之间协同管理能力较差,商业注意力难以集中4.IDC...

2020-04-09 21:40:23 655

原创 VHDL语言逻辑运算学习笔记

VHDL语言逻辑运算学习笔记

2020-01-08 13:34:16 3384 3

原创 关于java学生信息管理系统的实现,干货

所用的jdk版本是1.8,Tomcat是8.5com.qf.control包下的java文件1)AddScore.javapublic class AddScore extends HttpServlet{ @Override protected void doGet(HttpServletRequest req, HttpServletResponse resp) throws ...

2020-01-06 11:20:32 488

原创 解决VMware Workstation的虚拟化与win10 自带的Hyper-V服务冲突的问题

在VMare出现这个问题时,解决方法三种解决方案:1.在控制面板中关闭Hyper-v功能,把沟取消掉2.第一个方法重启之后无效的话,进入方法二:用管理员权限打开CMD或window powershell 关闭 启动项bcdedit /set hypervisorlaunchtype off之后输入bcdedit 查看启动管理器,查看hypervisorlaunchtype是不是off...

2020-01-05 17:50:10 2705 1

原创 VHDL语言的转换函数

2020-01-02 18:04:59 745

原创 四舍五入判别电路

继续分享有关EDA学习中所调的程序四舍五入判别电路library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;–use ieee.std_logic_arith.all;entity decode isport(a,b : IN STD_LOGIC_VECTOR(1 DOWNTO 0);DOUT : OUT...

2020-01-01 19:10:08 3135

原创 VHDL八位全加器的设计

VHDL编程语言八位全加器的设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ADDER4B ISPORT ( CIN4 : IN STD_LOGIC;A4 : IN STD_LOGIC_VECTOR(3 DOWNTO 0);B4 : IN STD_LOGIC_VECTO...

2019-12-31 08:46:02 4035 2

原创 VHDL实现同步D触发器

异步D触发器library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity shiyan isport (d,clk,rst:instd_logic;q: out std_logic);end shiyan;architecture Behavioral of shiyan isbeginprocess (rst,clk)beginif (rs...

2019-12-30 22:00:36 3640

原创 智能小车寻迹仿真电路图和程序

智能小车寻迹仿真电路图和程序链接:https://pan.baidu.com/s/1WBfIbSWWp1ZEyKatnVt6sg提取码:15lv有需要着自行提取,

2019-12-28 13:44:59 3992

单片机原理教程PPT(课件)

中央处理器、存储器、输入输出接口、定时器\计数器等等

2022-04-02

keil520_proteus配置.doc

注意:如果电脑有其它的Proteus版本,建议彻底卸载掉(用杀毒软件彻底卸载干净)。 注意:如下安装的所有软件都是在英文目录下,一定不要用中文。 网盘链接放在了文档里,请自行提取。

2020-07-09

LNIST-12.doc

2018年电子电路设计大赛无线充电电动小车(C题)【本科组】 本参赛小组设计制作了无线充电电动小车系统,实现了利用法拉第电磁感应现象产生电流的技术。该系统主要由硬件和软件两部分构成,其中硬件部分包括最小系统版、数据采集两大部分,最小系统部分主要是扩展了主要电路,数据采集由TPS63020变换组成。

2020-04-08

新基建,新机遇.pdf

这是关于2020年新基建,新机遇的PDF,长达一百页。短期新基建是为挽救经济复苏,应对百年大流行病,长期新基建是为建设工业化强国,应对百年大变格局。

2020-04-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除