自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(29)
  • 收藏
  • 关注

原创 华为2019数字芯片岗笔试解析二(多选部分)

首发来自微信公众号:数字芯片设计1、异步设计的特点是()【A】没有时钟skew问题【B】可移植性高【C】低电源消耗【D】设计可靠性高解析:同步电路设计利用时钟脉冲使其子系统同步运作,而异...

2020-12-21 21:10:00 5528 5

原创 华为2019数字芯片岗笔试解析二(单选第四部分)

首发来自微信公众号:数字芯片设计31.下面的哪个语句是可以综合的()【A】initial 过程块【B】forever 循环语句【C】for 循环语句【D】fork join解析:for语...

2020-12-18 08:30:00 6799 2

原创 华为2019数字芯片岗笔试解析二(单选第三部分)

首发来自公众号:数字芯片设计21.数字电路设计中,下列哪种手段无法消除竞争冒险现象()【A】加滤波电容,消除毛刺【B】增加冗余项消除逻辑冒险【C】增加选通信号,避开毛刺【D】降低时钟频率...

2020-12-16 21:10:12 7860 5

原创 华为2019数字芯片岗笔试解析二(单选第二部分)

首发来自微信公众号:数字芯片设计11.多bit总线信号可以通过格雷码转换进行异步处理,例如:8bit的数据总线进行格雷码转换,然后通过双触发器法实现异步处理()【A】正确【B】错误解析;...

2020-12-04 14:00:00 7643 7

原创 华为2019数字芯片岗笔试解析二(单选第一部分)

首发来自公众号:数字芯片设计1.表示任意两位无符号十进制数需要()位二进制数【A】6【B】8【C】7【D】5解析:最大的两位无符号十进制数是99,介于26-1和27-1之间,需要用7位二...

2020-12-01 09:00:00 7105 1

原创 使用EDA工具Synopsys2018常见问题与注意事项

最近陆续有同学虚拟机出现了一些问题,我将虚拟机在使用过程中存在的常见问题,做一个总结。问1:下载虚拟机压缩包后如何解压?需要一个一个去解压嘛?答:解压时只需解压part01即可,剩下的...

2020-11-27 08:30:00 2046 2

原创 华为2019数字芯片岗笔试解析(多选部分)

首发来自微信公众号:数字芯片设计不定项选择1.关于状态机编码,如下描述中正确的是()【A】状态编码用parameter定义【B】状态机必须有default态【C】用组合逻辑和时序逻辑分离...

2020-11-24 10:30:57 4818 3

原创 华为2019数字芯片岗笔试解析(单选第四部分))

首发来自微信公众号:数字芯片设计31.乒乓buffer可以提高系统的数据吞吐量,提高系统的处理并行度()【A】正确【B】错误解析:使用乒乓Buffer的主要作用是使用多个低速的数据预处...

2020-11-18 09:11:48 6651 3

原创 华为2019数字芯片岗笔试解析(单选第三部分)

首发来自微信公众号:数字芯片设计21.异步FIFO读写地址编码常采用独热码,以便于读写地址跨异步时钟域处理()【A】正确【B】错误解析:独热码:有多少状态就有多少比特,只有一个比特为1,...

2020-11-16 20:03:06 3107 2

原创 华为2019数字芯片岗笔试解析(单选第二部分)

首发来自微信公众号:数字芯片设计11.在同步电路设计中,电路的时序模型如下:T1为触发器的时钟端到数据输出端的延时,T2和T4为连线延时,T3为组合逻辑延时,T5为时钟网络延迟。假设时钟...

2020-11-11 09:30:00 4841 1

原创 华为2019数字芯片岗笔试解析(单选第一部分)

首发来自微信公众号:数字芯片设计1.单选1.已知“a=1'b1;b=3'b001;”,那么{a,b}=()【A】3'b001【B】3'b101【C】4&#...

2020-11-09 09:30:00 5432 6

原创 2020年数字IC设计秋招总结(IMaxwell)

01前言本人研究生就读于某985大学,集成电路工程专业,成绩中上,研究方向是基于新型存储器件的非易失性逻辑设计(其实就是将新型的器件(RRAM和FeRAM)和电路结合起来)更加偏向于模拟...

2020-11-03 09:30:00 3983 3

原创 2021秋招总结(器件方向转行数字IC设计)

01前期准备 1月下旬-2月下旬:学习闫石老师的《数字电子技术基础》,除了TTL电路、可编程逻辑器件,每一部分都做到尽可能的理解掌握。在参加笔试面试后发现,这本书上的内容真的是太重...

2020-11-01 09:30:00 5342 4

原创 Library compiler的基本使用教程

在很多的时候,我们需要使用IP设计,比如SRAM IP(通常使用memory compiler 生成)得到的是.lib文件而不是.db文件。这时就需要Synopsys提供的library compiler工具将.lib文转为.db文件,提供给DC综合工具。library compiler工具的使用主要包含两步,读入和写出。准备的文件.lib文件:data_sram_32_2048_scn4m_subm_TT_5p0V_25C.lib第一步:启动library compiler 在终端输入:lc_she

2020-07-29 17:41:41 10334 2

原创 一文看懂target_library和linK_library的区别

target_library与link_library的区别现在有必要解释target_library和link_library系统变量间的区别。targetlibrary 指定工艺库的...

2020-07-11 10:54:52 4639 1

原创 Centos6系统安装Synopsys2018教程

此教程只是供大家交流和学习使用,切勿用作商业用途!此教程只是供大家交流和学习使用,切勿用作商业用途!此教程只是供大家交流和学习使用,切勿用作商业用途!1.工具原料Linux系统Ce...

2020-06-27 18:14:27 1351

原创 Systemverilog:面向对象编程与面向过程编程区别

在学习验证的同学中开始学习大家都很对“对象”这个概念一脸懵,尤其是这个概念在后续的学习中非常的重要。不理解的话,就是很难理解面向对象编程这个对象到底是什么?和面向过程的C语言到底有什么区...

2020-06-20 20:07:48 542

原创 Linux系统的基本使用

曾经在网上看到一个一篇文章,说到了Linux学习的入门与学习技巧,也就是:初学者可以自己安装虚拟机,然后把linux常用命令例如cd、ls、chmod、useradd、vi等等多练习几十...

2020-06-17 15:27:19 263

原创 常用数字电路模块之三:计数器与分频器(二))

三、分频电路  (1)简单的计数器  计数器实质是对输入的驱动时钟进行计数,所以计数器在某种意义上讲,等同于对时钟进行分频。例如一个最大计数长度为N=2^n(从0计数到N-1)的计数器,...

2020-05-29 16:22:20 3607

原创 常用数字电路模块:计数器与分频电路(一)

本文介绍常见的电路——计数器,然后我们由计数器电路讲解到分频电路。一、计数器  (1)计数器代码  计数器,顾名思义就是在时钟的节拍下进行计数,一个简单的N位计数器的代码如下所示,这个计...

2020-05-24 18:43:04 3309

原创 2020华为海思的实习生面试记录(雨人)

申请部门:华为海思面试地区:上海合肥招聘平台申请岗位:芯片与器件设计工程师(实习生)研究生专业:集成电路工程时间日程:2020.04.01华为官网提交简历在华为官网提交自己的简历(直...

2020-05-22 10:17:00 2123

原创 2020华为海思实习生面试记录

来自微信公众号:数字芯片联合实验室2020年4月12日,投简历。我投的是华为海思的数字芯片岗位。首先先是从简历上,你的简历上一定要写清楚自己从大学开始到现在的学习经历,项目经历,自己的知...

2020-05-19 08:57:48 2824 1

原创 常用数字电路模块:边沿检测电路

从多级延迟触发器到边沿检测  本文记录一下关于延迟触发器链与它的常用用法(即边沿检测。多级延迟的触发器应该是比较常用的,当我们需要对信号信号进行延时,这个时候我们就用到了延迟触发器链。下...

2020-05-13 17:08:50 1551 1

原创 数字电路复位电路解析

复位电路  时钟电路我第一篇博客已经说讲过了,今天我们来聊聊复位电路。当然,复位电路博大精深,并不是三言两语就能说清楚的,因此这里也是聊聊复位电路的基础,更深的研究需要在实际的项目中才能...

2020-05-10 22:17:26 2997 1

原创 数字IC设计前端必读书籍

数字IC设计前端必读书籍本文将从简单到复杂的顺序依次列出数字IC设计需要阅读的书籍。1、数字电路基础数字IC设计最基础的书籍了,相关书籍比较多,可以随意挑选:主要是为了弄清楚与或门电路,触发器、译码器、脉冲产生、逻辑化简等待。读书策略: 用时短、打基础、必读认真阅读、把书中的习题都做一遍、每章节重点必须要掌握。书籍选择: 数字电路与系统(第3版) 戚金清,王兢 编2.《超大规模集成...

2020-05-05 08:52:03 4822

原创 数字IC设计必备网站

1.EETOP-创芯网原:中国电子顶级开发网)是一家专为中国电子工程师、芯片工程师和电子设计主管提供半导体电子技术开发应用资讯的网络传媒。其内容服务核心是快速传播半导体集成电路领域的最...

2020-05-02 15:26:28 2011

转载 VCS-入门脚本(Tcl)编写

本文针对vcs入门写的一个简易Tcl脚本1.工程的目录结构2.Tcl脚本Source code在terminal中输入vim MakefileMakefile中源文件如下.PHONY:com cov clean debug OUTPUT = cpu_top #compile commandVCS = vcs -sverilog +v2k -timesca...

2019-09-05 17:18:07 4470

转载 verilog语言中顺序执行和并行执行的理解

顺序块:关键字begin - end用于将多条语句组成顺序块。顺序块具有以下特点:(1)顺序块中的语句是一条接一条按顺序执行的,只有前面的语句执行完成之后才能执行后面的语句(除了带有内嵌延迟控制的非阻塞赋值语句)。(2)如果语句包括延迟或事件控制,那么延迟总是相对于前面那条语句执行完成的仿真时间的。在[例5. 9]中进一步给出了两个顺序块语句的例子。顺序块之中语句按顺序执行,[例5.9...

2019-08-24 10:39:49 8220 1

原创 verilog语言编写4-16译码器

verilog语言编写4-16译码器@liuchao_L4-16译码器verilog源文件module my4_16(a,b,c,d,out);input a;input b;input c;input d;output reg[15:0]out;always@(a,b,c,d)begin case({a,b,c,d}) 4'b0000:out = 16'b00...

2019-01-13 16:52:22 12227 2

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除