自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(21)
  • 资源 (5)
  • 收藏
  • 关注

原创 《Reuse Methodology Manual》第三章

3. 系统级设计问题:规则和工具本章讨论系统级问题,包括版图、时钟、布局、片上总线、综合策略、验证和测试。在选择或设计芯片的组件之前,必须就这些元素达成一致。本章的主题包括:互操作性问题时序和综合问题功能设计问题物理设计问题验证策略制造测试策略3.1 互操作性问题影响SoC设计成功的两个最关键的问题是:正在集成的宏的互操作性、实现设计的工具的互操作性。再开始设计和宏选择之前,设计团队必须统一整个芯片的设计规则。提前确定关键的事情包括时钟和重置策略、宏接口架构和可测性设计,可以让选择

2022-04-22 15:12:35 247

原创 《Reuse Methodology Manual》第二章

2 SoC设计过程本章概述了SoC设计方法,包括:标准SoC设计SoC设计流程规格(Spec)在项目生命周期中的作用2.1 标准SoC设计考虑图 2-1 中的芯片设计。我们声称,在某种意义上,这种设计代表了片上系统设计的规范或通用形式。它包括:一个微处理器和它的内存子系统包含外部系统接口的数据路径传输从外部系统接收的数据的模块其他连接外部系统的I/O接口这种设计是人为的,但它包含了实际SoC设计中的大部分结构和挑战。处理器可以是从8bit的8051到64bit的RISC。内存子

2022-04-15 17:08:47 649

原创 《Reuse Methodology Manual》第一章

2022年4月12日,又一次因为疫情被封在寝室,在文件夹中翻到一本《Reuse Methodology manual》,在eetop中没找到中文版,那就自己翻译(结合机翻和自己的理解)一下,以作记录,如有错误请指正。持续更新。1 Introduction目前,硅技术允许我们制造能够容纳千万级晶体管的芯片。这项技术有望在单个芯片上实现更高级别的系统集成度,同时也给芯片设计者带来了更多的挑战。因此,很多ASIC开发者和硅提供商(silicon vendors)重复检验他们的设计方法学,寻找可以有效利用更大

2022-04-13 15:55:47 453

原创 Linux下SystemC环境配置方法

Linux下SystemC环境配置方法以下为centos7下配置方法下载systemc源码包:SystemC (accellera.org)将压缩包放置到用户目录下,并解压tar -zxvf systemc-2.3.3.tar.gz进入到systemc-2.3.3文件夹cd systemc-2.3.3新建临时文件夹tmp,并进入其中mkdir tmpcd tmp运行如下命令../configuremakemake install至此,文件夹中生成in

2021-11-30 20:13:55 5757 1

原创 断言(SVA)

Assertion什么是assertion?用来于设计功能和时序做比较的属性描述。断言(assertion)可以用来完成:检查设计的内容提高设计的可视度和调试能力检查设计特性在验证中是否被覆盖可读性好,因此也可以用来服务于设计文档用来检查算法模型的断言在形式验证(formal verification)中可以穷尽计算,找出可能的违例(violation)可以自由地打开或关闭一小部分子集甚至可以用来综合或者移植到emulation中,用来完成跨平台的移植基于断言的验证方法学(AB

2021-11-29 20:21:02 7789

转载 寄存器的建立时间和保持时间详解

建立时间——寄存器触发沿来临之前,数据保持稳定的时间。保持时间——寄存器触发沿来临之后,数据保持稳定的时间。光看定义可能不太清楚,我们就从寄存器的结构来分析所谓的建立时间和保持时间。先上图寄存器的建立时间和保持时间详解在这里插入图片描述寄存器的建立时间和保持时间详解上图为一种D触发器结构图,下面分析其工作原理。整个结构划分成左右两部分,每部分4个与非门。其中G3、G4、G7、G8构成了RS锁存器。M为值保持点。当CLK=0时,G5、G6门的输出为1,Q保持不变;G1、G2门输出~D、D,M值

2021-11-22 20:31:46 1614 1

原创 verilog执行顺序

Verilog调度语义意味着当前仿真时间存在一个4级的仿真队列:1: Active Events (blocking statements)2: Inactive Events (#0 delays, etc)3: Non-Blocking Assign Updates (non-blocking statements)4: Monitor Events ($display, $monitor, etc)....

2021-11-16 09:50:06 834

原创 仿真回退 VCS+VERDI

仿真回退 VCS+VERDIMakefile中加上-lca -kdb -debug_access+all+reverse运行 ./simv -verdi & 启动verdi之后,需要打开verdi的回退仿真功能tool->Preferences中设置设置几个断点;在verdi的console中,输入run,启动仿真;点击run/continue reverse回退仿真此时,执行的光标,回到了点点代码的前一行。此时仿真的状态就回到了执行上一条指令的状态。因此可以利

2021-11-08 09:38:29 808

原创 VIM快捷方式

VIM快捷方式命令描述h, j, k, l左,下,上,右w/W光标移至下一个单词首e/E光标移至下一个单词尾b/B光标移至上一个单词首/abc搜索,n为下一个,N为上一个shift+8快速搜索当前单词:\s/a1/a2/g©将当前行的a1替换为a2,c表示询问,可不加:%s/a1/a2/g©将所有内容的的a1替换为a2dd删除当前行ndd删除当前行(包括当前行)后n行文本dG删除当前行到末尾的内容p

2021-10-24 19:44:24 1313

原创 UVM面试题

UVM笔记1.UVM是什么?优势?劣势?UVM(Universal Verification Methodology)是一个标准化的用于验证设计的方法学,是Synopsys、Candence、Mentor联合开源机构accellera提出。优势:模块化和可重用性:该方法被设计为模块化组件(Driver,Sequencer,Agents,Env等),这使跨模块级别的组件重用为多单元或芯片级验证以及跨项目。将测试与测试平台分开:stimulus/sequencers方面的测试与实际的测试平台层次保持

2021-08-30 20:23:18 2476 1

原创 SystemVerilog笔记

SV笔记数据类型四值逻辑类型:integer、logic、reg、net-type(例如wire、tri)二值逻辑类型:byte、short int、int、long int、bit有符号类型:byte、short int、int、long int、integer无符号类型:bit、logic、reg、net-type(例如wire、tri)定宽数组:int a[x]; a[] = '{ };动态数组:int a[]; a = new[x]; a[x] = '{ };队列: i

2021-08-16 20:34:48 1150

原创 DVT常用方法

DVT常用方法1. 自动补全快捷方式:alt+/自动补全包含许多提案,分为几类:第一个是您可以在范围内访问的内容(信号、变量、方法等,取决于做包含的范围)第二个用于代码模板第三个二是其他的东西,例如模块实例2. 快速修正快捷方式:ctrl+1在项目编译时(build,可自动增量式触发),会在“problem”窗口出现多处提示(warning/error)。在选择某处提示后快速连接到源代码处,在代码编辑左侧可根据“感叹号”或者“叉号”提示符,选中对应代码,右键->Source-&

2021-07-12 10:00:23 1348

原创 Verilog中function与task的区别

Verilog中function与task的区别任务、函数的定义和调用都包括在一个module的内部,他们一般用于行为级建模,在编写Testbench时用的较多,而在写可综合的代码时要少用。function的定义function <返回值类型和位宽> <函数名>; 入口参数和类型声明; 局部变量声明; 行为语句;endfunction定义function时需要注意以下几点:function定义结构不能出现在任意一个过程块(always或者initial)的内部;

2021-06-24 13:47:13 689

原创 Verilog coding style

Coding Style1. 文件书写规范1.1 文件头格式文件头包含三部分内容:版权信息声明,该部分保持不变;文件描述:包括文件名、版本信息、日期、作者,特别关注Description项,可以添加使用限制、模块功能说明等内容。日志信息:该日志信息仅用于记录较大改动(如需求变更),对于仿真BUG修正记录则不用放在这里。//-------------------------------------//COPYRIGHT(c) 2021, huawei//ALL right are

2021-04-10 13:52:20 587

原创 Perl学习笔记

Perl数据类型标量数字标量全部为双精度浮点型$data=10;$data=10.00;普通的表示方法:3.1415926,-500科学计数法:2.18e22,2.18E22,5.16e-10较长的且不带小数点的数字可以用下划线隔开:3_141_592_639非十进制数:​ 二进制,以0b开头:0b11​ 八进制,以0开头:0377​ 十六进制,以0h开头:0ha3字符串标量标量值为字符串,有引号标识字符串由可输出的字母、数字和标点符号组成$data=“Hello

2021-03-08 22:13:23 362

原创 IC验证笔试题(寒武纪)

寒武纪笔试题请简述:定宽数组、动态数组、关联数组和队列四种数据类型的各自特点。数据类型物理内存索引固定数组编译时创建,之后不能修改数字动态数组仿真时创建,仿真期间可以改变数字队列仿真时Push-Pop可以改变队列的大小数字关联数组仿真时分配内存数字、字符串、类简述在TB中使用interface和clocking block的好处在program代码中默认使用时钟周期为基础的仿真,对驱动和采样进行同步在interface的clock

2021-02-25 22:37:51 4471 1

原创 IC验证笔试题(平头哥)

平头哥笔试题Systemverilog 里面有哪些是四值变量?Verilog-1995中有两种基本的数据类型:变量和线网(net)。所谓四值变量是指他们有四种取值0,1,Z和X。reg(单比特或多比特的无符号数)wire(线网)time(64比特的无符号数)interger(32比特的有符号数)logic(sv改进的reg)sv里面动态数组、关联数组、队列各自的优缺点,应用场景。特点:动态数组:可以在仿真时分配空间或者调整宽度,这样仿真中就可以使用最小的存储空间。关联数组:用

2021-02-25 10:49:23 9888 1

原创 VCS(DVE)调试

VCS DebuggingDebug visibility(可见度)$display 打印格式化信息到窗口(display显示active region的信息, 变量在赋值之前的值)$storbe 类似于display,除了打印将延迟,直到当前时间步中的所有事件都已执行$monitor 监视信号列表,当列表中信号发生改变时打印格式化信息(monitor显示monitor region的信息,变量赋值之后的值)$time 以64位整数的形式返

2021-02-05 23:26:29 7678

原创 VCS常用命令

VCS常用命令vcs -help列出编译选项、运行选项、远景变量命令行选项(常用):-Mupdate增量编译(只编译改变的文件)-R编译后运行-gui运行时打开DVE窗口-I <filename>设置logfile文件名,并将log写进文件中-sverilog编译时支持Systemverilog语言+v2k编译时支持Verilog2001访问verilog库文件的编译时选项(compile-time options to a

2021-02-05 19:53:09 2139

翻译 改进的节点分析法(Modified Nodal Analysis)

文章目录Modified Nodal Analysis一、pandas是什么?二、使用步骤1.引入库2.读入数据总结Modified Nodal Analysis提示:这里可以添加本文要记录的大概内容:例如:随着人工智能的不断发展,机器学习这门技术也越来越重要,很多人都开启了学习机器学习,本文就介绍了机器学习的基础内容。提示:以下是本篇文章正文内容,下面案例可供参考一、pandas是什么?示例:pandas 是基于NumPy 的一种工具,该工具是为了解决数据分析任务而创建的。二、使用步..

2021-01-25 19:17:39 4967

原创 最快swap分区扩容

最快swap分区扩容操作步骤若出现修改错误导致磁盘满了,而无法开机操作步骤df -h查看当前磁盘容量sudo swapoff -a关闭当前的swap分区sudo dd if=/dev/zero of=/swapfile bs=1M count=1024 status=progress更改swapfile大小为 count*bs,容量大小一般为物理内存的2倍,根据自己的需求以及磁盘大小进行选择sudo chnod 600 /swapfile更改swapfile权限为仅root权限

2021-01-07 09:46:49 163

SystemC IEEE公开标准LRM

systemc IEEE标准,systemc入门

2021-12-01

vim插件,对应部分非自带快捷方式

vim插件,对应部分非自带快捷方式

2021-11-29

visio电路元件模板

visio电路元件.rar

2021-11-26

I2C 协议标准(中文版).rar

I2C 协议标准(中文版).rar

2021-06-30

AMBA总线协议(中英文)

包括AMBA3的中英文协议,AMBA2的中文协议

2021-04-30

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除