自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(47)
  • 收藏
  • 关注

原创 【开源】I.Mx6uLL的QT项目合集

项目移植前的准备【环境安装】

2024-04-25 09:37:04 310

原创 【源码】基于I.MX6ull驱动移植ds18b20的实验详解

实验平台:正点原子alpha开发板V2.2ds18b20模块。

2024-04-20 11:27:05 399

原创 使用SquareLine Studio创建LVGL项目到IMX6uLL平台

提示:这里可以添加本文要记录的大概内容:提示:以下是本篇文章正文内容,下面案例可供参考。

2024-04-09 20:37:34 1028

原创 I.Mx6uLL移植LVGL过程详解

测试平台:正点原子ALPHA IM.X开发板显示屏:3.4inch RGB 800×480编译器:Ubuntu18.04 交叉编译器:gcc-linaro-4.9.4-2017.01-x86_64_arm-linux-gnueabihf提示:以下是本篇文章正文内容,下面案例可供参考。

2024-04-07 10:26:43 564 1

原创 Makefile文件中指定arm-linux交叉编译器的问题-./lvgl_demo: line 1: syntax error: unexpected “)“

在Makefile文件中指定CC交叉编译器路径的时候,【加了问号】在Makefile文件中指定CC交叉编译器路径的时候,【不加问号】因为架构的不同,导致可执行程序无法在ARM板子上运行。

2024-04-03 11:30:45 159

原创 【passwd root】出现:System error password unchaged

然后在./mount挂载的时候,输入。

2024-03-28 15:57:51 241

原创 【I.MX6ULL移植】Ubuntu-base根文件系统移植

将下面命令添加到sources.list 文件最后,切记这里是ubuntu_rootfs下面的,而不是自己PC电脑上的。在 ubuntu_rootfs 目录下创建一个名为 mount.sh 和 unmount.sh的 shell 脚本。为了存放 ubuntu base 根文件系统,先在 PC 的 Ubuntu 系统中的 nfs 目录下创建一个名为。其中unmount.sh文件内容如下:【换成自己的ubuntu_rootfs路径】其中mount.sh文件内容如下:【换成自己的ubuntu_rootfs路径】

2024-03-28 15:46:54 933

原创 Linux内核启动Logo更换

将 arch/arm/boot 目录下的 zImage 烧录到开发板或者使用 TFTP 挂载到开发板上,启动开发板即可显示 logo。之前的 logo_linux_clut224.ppm 我们已经重命名了 logo_linux_clut224_V1.ppm。执行以下命令安装编译内核要用到的库和显示 menucofig 菜单时要用到的库。在linux内核文件下执行:【执行下面语句的时候,终端界面一定要大】准备一张图片:【png jpeg】等格式都可以。编译内核:【自行安装交叉编译器】注意.jpg格式使用。

2024-03-28 10:15:25 338

原创 imx6ull使用【QT+V4l2】驱动USB摄像头

(比如MEDIA_USR_SUPPORT[=n],那就按/,然后输入MEDIA_USR_SUPPORT,查找他在那里配置的,一路进入配置成*,最终保存即可)参考当前usb挂载的是多少:注意video0是imx6ull原厂自带的,不能使用,这里我们新插入的是video1设备。3.打开linux内核文件下的drivers/media/usb/uvc/uvc_driver.c。在Linux系统中,UVC驱动的支持在Linux Kernel 2.4之后被增加到内核中。找到自己的linux源码文件:输入。

2024-03-27 16:46:22 845

原创 Available platform plugins are: linuxfb, minimal, offscreen, vnc.

【代码】Available platform plugins are: linuxfb, minimal, offscreen, vnc.

2024-03-27 14:34:01 316

原创 QT+Opencv+yolov5实现监测

此次本次只使用cpu进行推理,视频检测有点卡顿,后续增加GPU的检测。加载视频之后,点击开始检测,进行推理。编辑系统环境变量【配置完成之后,要。加载图片直接进行推理。

2024-03-26 16:52:20 1271

原创 【源码】I.MX6ULL移植OpenCV

前提是自己环境中已经配置好arm-qt的交叉编译器。没有请先跳转另一个博客。

2024-03-22 10:39:12 382

原创 【有源码】buildroot根文件系统编译和常见问题

操作:连续俩次Esc是返回上一级,y是选择,回车进入,n是不选,键盘左移到save是保存,养成配置为一项之后,要保存文件一次。/etc/profile 文件修改完成以后重启开发板,这个时候我们就如到某个目录的时候命令行就。正点原子Buildroot移植QT5.pdf。正点原子IMX6ULL驱动开发.pdf。

2024-03-21 21:17:23 437

原创 基于BusyBox的imx6ull移植sqlite3到ARM板子上

在解压的文件夹下打开终端,然后输入以下内容,其中arm-linux-gnueabihf是自己的交叉编译器【自己替换】下载源码解压到本地的linux环境下。输入sqlite3,查看是否移植成功。接着在终端依次输入以下命令行。

2024-03-20 11:32:42 503

原创 【源码】imx6ull实现触摸屏单点实验-移植tslib和qt

Im6ull移植tslib驱动显示4.3寸显示屏幕

2024-03-01 14:06:14 601

原创 【开源】基于正点原子alpha开发板的第三篇系统移植

将红色拨码开关打到SD启动。然后在自己的ubuntu下找到一个编译好的驱动例程,使用如下命令就可以将程序拷贝到开发板的/lib/modules/4.1.15/路径下。仓库:https://gitee.com/wangyoujie11/imx6u-ll-uboot-kernel-rootfs.git。

2023-10-09 15:40:06 662

原创 rk3568环境配置和推理报错: RKNN_ERR_MALLOC_FAIL(-4) 和 RKNN_ERR_FAIL(-1)

rk3568环境配置和推理报错: RKNN_ERR_MALLOC_FAIL

2023-09-20 16:58:52 1104

原创 【免配置】Qt的mingw使用编译opencv库

基于编译完成的opencv库在Qt中配置opencv的环境

2023-06-09 15:07:18 2216 7

原创 基于Capsules网络的分类pytorch实现代码

一、资料1. 论文链接:地址2. 论文讲解地址论文中使用的网络结构如下:现在我的需求是输入(c, h,w)为(1,64, 64)分类类别为8类,所有需要需要以下的几个参数:二、代码在训练自己网络的时候需要使用到如下损失函数训练网络如下:**说明:训练的主干网络中的一些超参数,自己根据自己的设置,比如lr=1e-3,epochs=50,batch_size=128,数据集 **自己数据集训练的效果如下:

2023-04-02 20:37:57 475

原创 基于yolov5的pyqt5目标检测图形上位机工具【附工程代码】

基于yolov5的目标检测上位机

2023-03-30 14:39:36 2225 9

原创 基于paddlex的C#环境配置及其部署【附带安装包】

最近应老师要求部署一个基于paddlex的C#环境,踩了一些坑,经过几个版本的安装测试,最终成功,这里记录一下。此次用到的所有软件的安装包如下:官方参考链接(没有环境安装,只有后续部署的演示):安装包链接:链接:https://pan.baidu.com/s/1wc7L7i9y-HBHza6NK6tcNA提取码:wjwj–来自百度网盘超级会员V5的分享。

2023-02-27 16:32:24 1145 6

原创 基于WinUSB的QT上位机交互界面demo

基于windows系统自带winusb库的对usb读写操作的demo

2022-11-21 15:22:59 1305 1

原创 torch.max ()与 torch.argmax()的区别

torch.max与torch.argmax的区别

2022-11-13 14:46:33 262

原创 Keil在线调试方法总结

Keil在线调试方法总结1. 基本的配置方法:介绍一下俩者的区别(转载)JTAG(Joint Test Action Group,联合测试行动小组)是一种国际标准测试协议(IEEE 1149.1兼容),主要用于芯片内部测试,现在多数的器件都支持JTAG协议,ARM、DSP、FPGA等,JTAG接口的单片机用电脑USB下载调试程序,需要用到J-Link(USB转JTAG)。标准的JTAG是四线:TDI,TMS,TCK,TDO,分别对应数据输入,模式选择,时钟,数据输出,复位管脚可不接。SWD全称是

2022-05-21 23:22:58 7094

原创 Jinlk下载器固件烧写

出现的问题:keil再用jlink下载器给单片机下载程序时候,突然卡住之后,jlink下载器的指示灯熄灭不亮,连接单片机板子也会造成单片机板子被短路。此时在keil魔法棒配置中的Debug下面选择jlink发现已经无法识别。最开始以为下载器的某些器件被烧短路造成。拆开下载器外壳,连接电源,用万用表测试了几个管脚发现都是有电压的。所以暂且排除硬件损坏。查询资料发现有可能是jlink下载器内部的固件损坏,需要重新烧写一下固件。这里参考了几个博主的方法,最终亲测有效的是:[https://blog.csdn.

2022-04-27 17:46:09 630 2

原创 基于51单片机和霍尔传感器的测速

1. 小项目简介主要采用stc89c51/52单片机作为主控,由霍尔传感器作为测速的基本模块,采用按键控制速度快慢,数码管显示当前速度。最后成品图如下:2.硬件部门stc89c51/52的最小系统注意:如果使用一般的USB接口供电,当电机转动时候,可能照成单片机的管脚供电不稳定,所以需要在单片机的IO的外接上拉排阻。P3口不需要。9针排阻如下:有小点的一端是公共端,需要和电源5V连接,其余口和单片机管脚一一对应焊接就行。霍尔传感器注意引脚,窄的一面来看引脚顺序:这里的VOUT口

2022-04-21 17:27:55 16003 18

原创 QT信号与槽的特点和用法

1. 概念:信号( Signal )就是在特定情况下被发射的事件 , 例如 PushButton 最常见的信号就是鼠标单击时发射的 clicked() 信号。槽( Slot )就是对信号响应的函数。槽就是一个函数,与一般的 C++函数是一样的,可以定义在类的任何部分( public 、 private 或 protected ),可以具有任何参数,也可以被直接调用。槽函数与一般的函数不同的是 :槽函数可以与一个信号关联,当信号被发射时,关联的槽函数被自动执行 。Qt的元对象编译器 C Meta-

2022-04-21 00:23:31 1779

原创 QT中出现error: undefined reference to `Widget::SetTime()‘

问题描述: QT中出现error: undefined reference to `Widget::SetTime()':原因分析:因为我们只在头文件中声明了函数,没有在对应的(XXX.C)文件下面实现函数:解决方案:在对应的.c文件下面实现该函数即可:...

2022-04-11 21:48:49 2912

原创 QT学习之设置时间日期显示

QT在获取本机时间很方便,也有很多中实现方法步骤一:在Ui界面下可以放置Qlabel控件,更改其对应objectName(名称)如图步骤二:在自己Ui创建完成的.h函数中步骤三:在自己Ui创建完成的.cpp函数中效果图...

2021-06-01 11:19:30 1944

原创 QT学习之代码颜色区别

作为初学者在Qt Creator 软件中常常因为代码的不同类型容易弄混。下面做个汇总1.紫色2.深蓝色3.浅蓝色4.天蓝色5.黄色6.红色7.绿色8.浅灰色

2021-06-01 10:55:03 2757

原创 QT添加pri编译运行: error: fatal error: no input files问题解决

在Qt项目中添加pri文件具体操作请看转载大佬.pri子工程文件创建完成如下,在子工程文件下添加.c和.h文件1. 新建.c和.h文件2. 直接添加现有的.c和.h文件3.编译运行报错的解决办法:如图删掉pro工程下面的源文件的一个斜杠即可。转载...

2021-05-28 15:29:53 771

原创 QT学习之安装QT

QT学习一:安装QTQT5.14.2安装包链接:https://pan.baidu.com/s/1mcJfpJng4XKHg1muIKeoDw提取码:caci安装步骤:路径安装的文件夹最好时是新建空的文件夹,否则注意:windows的64位选择64Bit,32位选择32Bit即可,在Qt 5.14.2中有很多配置如有需要才去配置这样软件占用空间小。若是windows的用户选择这俩个即可,s所有QT程序路径都不要有中文字推荐一本QT快速入门电子版PDF和对应源码如下:链接:https

2021-05-18 16:16:07 3360

原创 正点原子stm32f429官方列程编译之后用J-LINK无法下载

有时候打开官方的例程,编译之后没有错误但是任然不能下载程序进去:方法一:将工程文件关闭之后再打开一次,但是不一定能够成功。方法二:由魔法棒配置:将Erase Sectors 改成 Erase Full Chip再次编译程序之后就可以下载程序了(所需要的时间比较长)第一次:全部擦除之后的效果再第一次全部擦除成功之后,又可以把魔法棒里面的Erase Full Chip 改成 Erase Sectors 。这样后面可以成功编译下载并且下载速度也比较快。...

2021-03-31 16:40:02 638

原创 stm32单片机与LD3320语音模块交互法二

stm32单片机与LD3320语音模块串口通信的方式通信一、硬件部分1.stm32单片机系列: stm32f103RCT6最小系统硬件接线:LD3320的+3V接口可以不管二、软件部分1- LD3320官方列程main函数修改部分2- 编译之后3- 用stc-isp烧入软件至此LD3320语音模块处理完成,接下来写stm32的程序(stm32是单独的一个工程文件,不要和LD3320的工程文件想成一个)1 -串口配置 (创建usart.c和usart.h)①usart.c文件

2021-02-03 15:37:50 22174 99

原创 stm32单片机与LD3320语音模块交互法一

stm32单片机与LD3320语音模块交互法一## 交互方式: stm32读取LD3320对应管脚的电平变化,实现控制1. 硬件接线LD3320 和 stm32GND —— GND5V —— 5V注:①LD3320的RXD,TXD,3.3V管脚可以不用管②LD3320的P1^0外接一个LED小灯,小灯负极与LD3320和stm32共GND2.LD3320 程序部分LD3320参考官方的列程(YS-V0.7口令模式工程模板中),具体改动如下:

2021-01-29 16:46:46 14482 36

原创 用MOS管构成H桥的心得

前言因为最近在弄智能小车的驱动电路,根据电机的要求要能实现正反转,因此就想到书中的H桥电路,当然现在有很多已经集成好的h桥芯片:LN298N等。心想做平衡车时候控制比较方便于是就想捡个便宜使用LN298N。但是看见网上为什么没有车队用这个集成好的模块做驱动电路呢?…心里一想此事不见得那么简单,于是查了一下LN298N芯片的参数和电机的参数,好家伙,差点就掉进坑里了(*>﹏<*)′(&...

2020-04-17 15:27:23 19100 23

原创 记录AD软件学习之坑

经过一次次制作板子,踩了很多的坑,往往是从这个坑再到那个坑,阅坑无数,所以我把我所遇到的这些坑给大家总结出来,希望大家再遇到这些坑的时候可以从容应对。第一部分:原理图的设计1、原理图中相交线路之间的电气连接(有交叉线路的时候一定要看交点处是否需要交点)2、原理图库的绘制时候(编内点外:有编号的一侧连接器件)3、器件参数的设置(器件没有编号,编译的时候就会报错)4、原理图的层次绘制...

2020-04-15 11:44:06 1016 1

原创 蓝桥杯嵌入式学习之双路AD采集

硬件电路排针帽程序ADC_Mode 设置ADC 工作在独立或者双ADC 模式。ADC_Mode 描述1.ADC_Mode_Independent ADC1 和ADC2 工作在独立模式2.ADC_Mode_RegInjecSimult ADC1 和ADC2 工作在同步规则和同步注入模式3.ADC_Mode_RegSimult_AlterTrig ...

2020-03-15 17:13:18 1411 5

原创 蓝桥杯嵌入式扩展板学习之光敏电阻

硬件电路LM393电压比较器管脚如下光敏电阻模拟量的采集就利用stm32的ADC的12精度采集通道来采集,由扩展板资源分布可知:程序//ADC -AOGPIO_InitStructure.GPIO_Pin = GPIO_Pin_4;GPIO_InitStructure.GPIO_Speed = GPIO_Speed_2MHz;GPIO_InitStructure.GPIO...

2020-03-12 17:00:08 2821 4

原创 蓝桥杯嵌入式扩展板学习之LIS302DL

硬件电路IIC通信的读和写地址的确定,类似24C02芯片一样有自己独特的读和写的iic地址,因为三轴加速度计的SD0管脚接地,根据芯片介绍,其Read地址为(0x39) write地址为(0x38)IIC读写函数void LIS302DL_Write(unsigned char addr,unsigned char data){ I2CStart(); I2CSendB...

2020-03-10 17:35:25 1096 2

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除