自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

多学习

越努力越幸运,总有一天会厚积薄发。

  • 博客(114)
  • 资源 (37)
  • 收藏
  • 关注

原创 Java-lab-02-class

【代码】Java-lab-02-class。

2024-03-25 17:09:45 185

原创 Java-lab-01-grammer

【代码】Java-lab-01-grammer。

2024-03-25 17:09:04 217

原创 蓝桥杯-2013年B组真题

蓝桥杯-2013年B组真题蓝桥杯-2013年B组真题参考https://blog.csdn.net/qq_44391957/article/details/90646760A.高斯日志题目标题: 高斯日记 大数学家高斯有个好习惯:无论如何都要记日记。 他的日记有个与众不同的地方,他从不注明年月日,而是用一个整数代替,比如:4210 后来人们知道,那个整数就是日期,它表示那一天是高斯出生后的第几天。这或许也是个好习惯, 它时时刻刻提醒着主人:日子又过去一天,

2022-04-21 11:29:22 721 2

原创 ModuleNotFoundError: No module named ‘execjs‘

import execjsModuleNotFoundError: No module named 'execjs'pip install PyExecJS

2021-12-07 10:21:14 3024 1

原创 信号实验(03)连续时间系统的频域分析

信号实验(03)连续时间系统的频域分析一.基础知识1.1.数值积分y=quadl('func', a, b)% a、b 分别表示定积分的下限和上限y=quadl(@myfun, a, b)1.2.傅里叶变换和逆变换% 要用到符号运算fourier()ifourier()1.3.频率响应freqs(b, a, w)% b 为系统频率响应函数有理多项式中分子多项式的系数向量% a 为分母多项式的系数向量,或微分方程左式的系数% w 为需计算的系统频率响应的频率抽样点向量二.例

2021-10-08 11:18:49 2962

原创 信号实验(02)连续时间系统的时域分析

信号实验(02)连续时间系统的时域分析一.基础知识1.1.目的深刻理解卷积运算,掌握离散线性卷积、连续线性卷积的计算方法;加深对线性时不变系统中零状态响应概念的理解,掌握其求解方法;掌握给定连续系统的冲激响应和阶跃响应1.2.实验原理1.微分方程(系统方程)模型系统零状态响应% 方程中左边是a右边是bsys = tf(b, a);2.冲激响应与阶跃响应% 冲击响应y = impulse(sys, t);% 阶跃响应y = step(sys, t);3.卷积c =

2021-10-08 11:18:06 2459

原创 信号实验(01)MATLAB 编程基础及典型实例

信号实验(01)MATLAB 编程基础及典型实例一.例子1.1.duiqi.mfunction [f1_new, f2_new, n ] = duiqi(f1,n1,f2,n2)% 功能:将两个序列对齐,以实现两个序列之间的运算% 输入:% (1 ) f1,f2: 原来的两个序列;% (2 ) n1,n2: f1,f2 所对应的时间变量范围;% 输出:% f1_new, f2_new :对齐后的两个序列% n : 对齐后的两个序列的时间变量范围%----------

2021-10-08 11:17:35 1697

原创 信号实验(00)常见函数绘图

信号实验(00)常见函数绘图一.自定义常见函数1.1.分段函数1.2.门函数% 门函数t = -3:0.05:3;z1 = ((t + 1) >= 0);z2 = ((t - 1) >= 0);g = z1 - z2;figure;subplot(1, 2, 1);plot(t, g, 'r');axis([-3, 3, 0, 1]);n = -3:1:3;z3 = ((n + 2) >= 0);z4 = ((n - 2) >= 0);k = z3

2021-10-08 11:17:04 1687

原创 数字图像处理实验

数图实验2021-05-23实验一 图像变换实验目的1:了解二维fourier变换的原理2:掌握二维fourier变换的性质3:掌握离散余弦变换的原理实验内容1.绘制一个二值,并将其傅里叶函数可视化**。**close all;clear;f = zeros(40, 40);f(10:30, 10:30) = 1;subplot(1, 3, 1);imshow(f);F = fft2(f);subplot(1, 3, 2);imshow(F);D = log(1

2021-05-24 10:33:54 6600

原创 微机原理作业

微机原理作业2020-10一.计算机技术调研论文题目报告选题:从绪论《计算机器之路》的内容中选取一个知识点,展开讨论,阐述一个与计算机技术相关的论点。报告要求:① 必须提出观点,观点清晰,有论证过程,不能仅罗列事实。② 需查阅不少于1篇的参考文献,并标注引用。③ 字数不少于300字,不多于1000字。④ 雷同者一律计0分。史话机器计算之路:①机器计算的梦想家 ②辅助计算工具 ③现代计算机雏形 ④电子计算机①机器计算的梦想家了解4位机器计算的先驱思考者:Ramon Llull,

2021-05-23 16:57:42 1011 1

原创 微机原理实验

微机原理实验2020实验五 一个简单的汇编语言程序设计实验目的掌握编写汇编语言源程序的一般格式;掌握汇编语言程序开发的一般过程;掌握汇编语言程序调试的基本方法;掌握常用伪指令的使用。实验设备PC机一台实验内容及实验记录1、在MASM安装目录下,打开记事本,可保存为FILENAME.ASM。注意此处,文件名可自取,建议使用英文字母开头的,只含有数字和英文字母且不超过8个的字符,扩展名为.ASM。2、打开源文件FILENAME.ASM,输入以下源程序。NAME HELLOSS

2021-05-23 16:56:19 6059 1

原创 asm环境配置

asm环境配置2020-10-18环境配置单步运行DosBox,将文件夹加载到Dos系统的C盘根目录下mount c f:file\asm_file\masm切换到C盘c:查看有啥文件dir编写文件.model small.stack.datatop_left_x dw ?top_left_y dw ?side dw ?color db ?.codeline proc mov al,color mov cx,top_left_x

2021-05-23 16:47:40 614

原创 使用Fiddler和雷电模拟器抓取安卓https请求

使用Fiddler和雷电模拟器抓取安卓https请求1.下载并安装Fiddler[Fiddler] https://www.telerik.com/download/fiddler2. 打开Fiddler,点击工具栏中的Tools—>Options—>Actions—>Export Root Certificate to Desktop3. 双击导出的证书进行安装4. 配置Fiddler抓取https这里一定要勾选上5. 配置Fiddler完成后,一定要重启Fiddl

2021-05-23 16:37:12 1766 6

原创 Django错误集合

Django错误集合2021-021、Django.template.exceptions.TemplateSyntaxError: ‘staticfiles‘ is not a registered tag library.在setting.py中添加如下内容:'libraries': { # Adding this section should work around the issue. 'staticfiles': 'django.templatetags.static',

2021-02-26 12:53:36 1192

原创 解决:ModuleNotFoundError No module named ‘imagekit‘

pip uninstall imagekit pip install django-imagekit

2021-02-15 14:35:12 487

原创 Latex调节目录间距\tableofcontents和调整页码

% 调整目录间距的宏包\usepackage{setspace}\thispagestyle{empty} % 不要页眉页脚和页码\setcounter{page}{-100} % 此命令仅是为了避免页码重复报错,不要在意%---------以下生成目录----------\newpage\begin{spacing}{0.5} \tableofcontents\end{spacing} % 若不想要目录, 注释掉该句\thispagestyle{empty} % 不要页

2021-02-09 13:20:03 5400

原创 Pycharm缓存文件太大,转移C盘中Pycharm缓存文件

转移C盘中Pycharm缓存文件问题将C盘用户目录下的.Pycharm转移到E盘文件夹下解决找到PyCharm的D:\software\pycharm_2019\PyCharm 2019.1\bin添加4个在四处红框位置处添加四行,把缓存、log、插件等文件的存储位置直接指定为你的路径...

2021-02-04 23:43:56 1650

原创 teamviewer远程连接为什么桌面壁纸会变成黑色

teamviewer远程连接为什么桌面壁纸会变成黑色解决方法

2021-02-04 20:18:42 1402

转载 解决Windows update medic service服务禁用不了拒绝访问

解决Windows update medic service服务禁用不了拒绝访问解决方法:方法一:CMD命令1、首先使用 Win + R 组合快捷键,打开运行对话框,然后输入命令 cmd,点击下方的“确定”打开服务,如下图所示。复制这条命令REG add “HKLM\SYSTEM\CurrentControlSet\Services\WaaSMedicSvc” /v “Start” /t REG_DWORD /d “4” /f2、右键点击粘贴,然后回车方法二:修改注册列表1、首先使用

2021-02-04 19:57:36 2522 1

原创 修改host加速github

修改host加速github1.访问官网https://www.ipaddress.com/ip-lookup2. 获取 global.ssl.fastly地址http://github.global.ssl.fastly.net.ipaddress.com/#ipinfo3. 获取github地址http://github.com.ipaddress.com/#ipinfo4. 获取assets-cdn.github.com地址https://github.com.ipaddress.co

2021-02-03 17:11:37 982

原创 VSCode配置LaTeX

VSCode配置LaTeX2021-02-01安装插件LaTeX WorkshopLaTeX language supportUnicode LaTeX配置Json进入设置settings.json有VSCode路径要配置,换成自己的VSCode路径// LaTeX"latex-workshop.message.update.show": false,"latex-workshop.showContextMenu": true, "latex-workshop.int

2021-02-03 13:04:56 577

原创 Paragraph ended before \CJK@XX was complete

Paragraph ended before \CJK@XX was complete错误Paragraph ended before \CJK@XX was complete解决

2021-02-03 13:04:21 2908 1

原创 Office添加Mathtype

Office添加MathtypeWord准备好两个MathType文件:C:\Program Files (x86)\MathType\MathPage\64\MathPage.wllC:\Program Files (x86)\MathType\Office Support\64\MathType Commands 6 For Word 2016.dotm将 MathPage.wll 拷贝到 C:\Program Files\Microsoft Office\root\Office16

2021-02-02 10:26:54 1699

原创 更改 VS Code C++ 默认代码风格为 Google C++ Style

更改 VS Code C++ 默认代码风格为 Google C++ Style安装插件Clang-FormatC/C++进入设置结果

2021-02-02 10:22:30 5240 5

原创 数字电路实验(06)555定时器及其应用:多谐振荡器

数字电路实验(06)555定时器及其应用:多谐振荡器2020-6-2一.实验要求1.1.实验目的熟悉多谐振荡器的实现流程;掌握555定时器的使用方法;掌握泰克示波器TBS1102的使用。1.2.实验器材VCCGround普通电阻普通电容555定时器泰克示波器TBS11021.3.实验原理555时基电路是一种将模拟功能与逻辑功能巧妙结合在同一硅片上的组合集成电路。555定时器构成的多谐振荡器能自行产生矩形脉冲的输出,是脉冲产生(形成)电路,它是一种无稳电路。多谐振

2021-02-01 16:50:04 24159 7

原创 数字电路实验(05)二进制计数器设计

数字电路实验(05)二进制计数器设计2020-5-29一.实验要求1.1.实验目的认识二进制同步计数器的定义、工作状态及信号波形;熟悉基于JK触发器实现二进制同步计数器的构成规则。1.2.实验器材VCCGround脉冲电压源上升沿触发JK触发器2输入与门四输入七段数码管四通道示波器1.3.实验原理计数模值M和触发器级数k的关系:M=2k。加法计数器的构成规律:J0=K0=1Ji=Ki=Q0•Q1•…. •Qi-1 i=1、2……(k-1)减法计数器

2021-01-31 16:58:22 9055

原创 verilog网站刷题记录

verilog网站刷题记录网站题目https://hdlbits.01xz.net/wiki/Wire答案答案参考Basicsimple wire(一根线)Create a module with one input and one output that behaves like a wire.module top_module( input in, output out ); assign out = in;endmodulefour wires(四根线)Create

2021-01-31 16:57:36 989

原创 EDA实验汇总

EDA实验汇总实验1_简单组合电路的设计2选1module mux21a(a, b, s, y); input a, b, s; output y; assign y = s ? a : b;endmodule思考题1-1、用 Verilog 设计一个 3 选 1 多路选择器,并在软件上进行仿真,得出仿真波形。// 3选1// 多了一个 2 位的标志位,可以取4种情况module mux31a(a, b, c, flag, y); input a, b, c; in

2021-01-31 16:55:37 13366

原创 verilog作业

verilog作业2020-5-301.按键采用硬件描述语言(Verilog HDL),设计4个独立按键的按键检测电路,在数码管上显示优先级最高按键的键值。要求:无键按下时,数码管不显示(灭掉)。……具体代码如下,试补充完空白处的代码。module My_key_Display(Key_In,Seg_out,Led_out); input [3:0] Key_In; // 按键输入 output reg [3:0] Led_out; //LE

2021-01-31 16:52:40 1612

原创 数字电路实验(01)基本逻辑运算及其电路实现

题目实验要求一、实验目的1.认识逻辑值1、0和逻辑门的输入、输出信号电平之间的关系;2.从逻辑门的输入、输出电平的关系去认识逻辑与(与非)、或、非的运算;3.熟悉基本逻辑门的使用。二、实验器材1.2输入与非门2.2输入或门3.非门4.直流电压源5.直流电压表6.Ground三、实验原理在逻辑代数中,有与、或、非三种基本逻辑运算。如图1,给出三个指示灯的控制电路。在图1(a)电路中,只有当两个开关同时闭合时,指示灯才会亮,这种因果关系称为逻辑与;在图1(b)电路中,只要有任何一个开

2021-01-31 16:50:54 3657

原创 数字电路实验(04)中规模组合逻辑电路实验3:译码器及其应用

数字电路实验(04)中规模组合逻辑电路实验3:译码器及其应用2020-5-23一.实验要求1.1.实验目的认识译码器的定义、功能及基本使用;熟悉译码器(74HC138)的功能和级联。1.2.实验器材VCCGround3线-8线反相译码器74HC138指示灯1.3.实验内容利用两片3线-8线译码器74HC138组成4线-16线译码器,要求:将输入的4位二进制代码D3D2D1D0译成16个独立的低电平信号Z0’~Z15’。1.4.实验原理译码器译码器(Decoder)

2021-01-31 16:50:08 5214

原创 数字电路实验(03)中规模组合逻辑电路实验1:选择器及其应用

数字电路实验(03)中规模组合逻辑电路实验1:选择器及其应用2020-5-12一.实验要求1.1.实验目的1.认识数据选择器的意义和应用;2.熟悉8选1数据选择器的功能及使用;3.掌握基于数据选择器解决组合逻辑问题的方法和过程。1.2.实验器材1.74LS1512.直流电压源3.指示灯4.VCC5.Ground1.3.实验原理数据选择器是组合逻辑电路一种形式,它根据地址码要求,从多路输入信号中选择其中一路作为输出电路,其结构图如图1所示。D0Dm-1为m个数据源,A0An

2021-01-31 16:49:32 3036 1

原创 数字电路实验(02)小规模组合逻辑电路实验1:交通灯状态

数字电路实验(02)小规模组合逻辑电路实验1:交通灯状态2020-5-11一.实验要求1.1.实验目的1.认识解决实际组合逻辑问题的一般方法和过程;2.熟悉基本逻辑门的使用。1.2.实验器材1.2输入与门2.3输入与门3.4输入或门4.非门5.直流电压源6.Ground7.指示灯1.3.设计要求设计一个交通信号灯工作状态监视逻辑电路,要求:监视交通信号灯的每一组信号灯均由红、黄、绿三盏灯组成,正常工作情况下,任何时刻必须有一盏灯点亮,而且只允许有一盏灯点亮;而当出现其他五种

2021-01-31 16:48:57 12294 4

原创 django.core.exceptions.ImproperlyConfigured: mysqlclient 1.4.0 or newer is required; you have 0.10.1

使用的Django版本为1.11 raise ImproperlyConfigured('mysqlclient 1.4.0 or newer is required; you have %s.' % Database.__version__)django.core.exceptions.ImproperlyConfigured: mysqlclient 1.4.0 or newer is required; you have 0.10.0.有一个好办法,直接指定版本,比其他的解决方法简单一些

2021-01-30 15:27:45 215

原创 django.template.exceptions.TemplateSyntaxError: ‘staticfiles‘ is not a registered tag library.

在setting.py中添加如下内容:'libraries': { # Adding this section should work around the issue. 'staticfiles': 'django.templatetags.static',},

2021-01-30 13:56:20 218

原创 Django报错[WinError 123] 文件名、目录名或卷标语法不正确。: <frozen importlib._bootstrap

'<frozen importlib._bootstrap当你在项目文件中删除app对应的文件却没有在项目url中删除之前配置的路径也没有删除setting中配置的app那么就会报错[WinError 123] 文件名、目录名或卷标语法不正确。: '<frozen importlib._bootstrap没有下面这个路由No module named ‘slugify’pip install slugifyNo module named bracespip install

2021-01-30 13:45:27 8353 1

原创 Excel基础(18)Indirect函数

Excel基础(18)Indirect函数2020年2月29日一.基础1.1.Indirect函数意义返回文本字符串所指定的引用类似于C语言的指针,根据他的地址就能找到这个值1.2.与index对比?1.index用法=INDEX(E:E,ROW()*5-25)2.indirect用法1.先弄地址="E"&ROW()*5-252.再使用=INDIRECT(I6)1.3.跨表引用顺序相同!1.使用2.制作引擎=A4&"!G2"

2021-01-28 18:08:08 3903

原创 Excel基础(19)图标基础

Excel基础(19)图标基础2020年2月29日一.图表元素1.1图片跟着图表变化1.2.图表标题1.添加元素2.图表设置3.根据公式变化标题1.3.坐标轴二.美化图表三.通用设置

2021-01-28 18:08:01 237

原创 Excel基础(17)lookup函数与数组

Excel基础(17)lookup函数与数组2020年2月29日一.统计函数1.1.sumif1.2.sumifs二.数组2.1.原理数组运算用{ }区分!1.选出区域是广州的2.数组公式ctrl+shift+enter才行不是一般的回车!1.单一条件{=SUM(($A$2:$A$22 = K8)*$E$2:$E$22)}2.多个条件相乘即可(逻辑与){=SUM(($B$2:$B$22=L15)*($A$2:$A$22=K15)*($E$2:$E$22))}

2021-01-28 18:07:37 570

原创 Excel基础(16)数学函数

Excel基础(16)数学函数一.基础1.1.四舍五入1.基本round()可以精确到小数位数=ROUND(F2,2)参数1:选定的单元格参数2:要保留的位数2.roundup()向上舍去=ROUNDUP(F2,0)参数1:选定的单元格参数2:根据哪一位向上舍去3.rounddown()向下舍去=ROUNDDOWN(F2,0)1.2.取整函数int1.基本使用=INT(F2)2.与rounddown区别?负数就有区别了

2021-01-28 18:07:26 427

WPD_1.5.2042_Green.zip

WPD_1.5.2042_Green.zip

2021-11-20

dism.zipdism.zipdism.zip

dism.zipdism.zip

2021-11-20

智能车英飞凌编译器AURIX-studio-setup_1.2.2_20201012-1308.exe

智能车英飞凌编译器

2021-10-11

ccleaner_pro_v5.67.7763_plus.zip

ccleaner_pr

2021-10-11

360se_13.1.1572.0_Protable.7z

360浏览器简洁版

2021-10-11

拉勾教育《52讲轻松搞定网络爬虫》讲师:崔庆才.rar

拉勾教育《52讲轻松搞定网络爬虫》讲师:崔庆才.rar

2021-10-11

2020年408考研真题及答案.zip

2020年408考研真题及答案.

2021-10-11

白话机器学习的数学-立石贤吾-源代码.zip

白话机器学习的数学-立石贤吾-源代码.zip

2021-08-18

人工智能数学基础资料.zip

人工智能数学基础资料.zip

2021-08-18

STL源码.rar

STL源码.rar

2021-08-18

千锋C++教案.zip

千锋C++教案.zip

2021-08-18

千锋C++代码.zip

千锋C++代码.zip

2021-08-18

千锋C++笔记.zip

千锋C++笔记.zip

2021-08-18

鲁大师

鲁大师.rar

2021-08-18

VisualBasic6.0.zip

VisualBasic6.0.zip

2021-08-18

vensim_v7.3.5_downyi.com.zip

vensim_v7.3.5_downyi.com.zip

2021-08-18

STM32CubeMX530.zip

STM32CubeMX530.zip

2021-08-18

GitHubDesktop_2.8.3_64bit_Green.7z

GitHubDesktop

2021-08-18

Wireshark数据包分析实战数据包(第三版).rar

Wireshark数据包分析实战数据包(第三版).rar

2021-08-18

Wireshark数据包分析实战(第2版)捕获文件.rar

Wireshark数据包分析实战(第2版)捕获文件.rar

2021-08-18

torch-1.5.0-cp37-cp37m-win_amd64.whl

torch-1.5.0-cp37-cp37m-win_amd64.whl

2021-08-10

HardDiskSentinel_5.70.1_Portable.7z

硬盘检测

2021-08-10

Story-writer-win64-setup-8.1.1.exe

Story-writer-win64-setup-8.1.1.exe

2021-08-10

codeblocks_43440.zip

codeblocks_43440.zip

2021-08-10

AxGlyph安装包(内含教学视频).zip

AxGlyph安装包(内含教学视频).zip

2021-08-10

MIT线性代数笔记.zip

MIT线性代数笔记.zip

2021-08-10

8-液晶驱动参考例程.rar

8-液晶驱动参考例程.rar

2021-08-10

林清学院PS素材.zip

林清学院PS素材.zip

2021-08-10

高等应用数学问题的matlab求解(318个源程序).rar

高等应用数学问题的matlab求解(318个源程序).rar

2021-08-06

高数叔数学建模竞赛课程课件.zip

高数叔数学建模竞赛课程课件.zip

2021-08-06

数学建模与实验MOOC课件.zip

数学建模与实验MOOC课件.zip

2021-08-06

代码随想录算法PDF.rar

代码随想录算法PDF.rar

2021-08-06

ACM北大集训资料.rar

ACM北大集训资料.rar

2021-08-06

蓝桥杯历年真题.zip

蓝桥杯历年真题.zip

2021-01-23

数据时代的陷阱-公选答案.pdf

数据时代的陷阱-公选答案.pdf

2021-01-23

Mysql实验内容.pdf

Mysql实验内容.pdf

2021-01-23

latex基础.pdf

latex学习基础文档,本人在大学阶段学习的Latex资料,用过的感觉十分良好。使使用者没有排版和程序设计的知识也可以充分发挥由TeX所提供的强大功能,能在几天,甚至几小时内生成很多具有书籍质量的印刷品。对于生成复杂表格和数学公式,这一点表现得尤为突出。因此它非常适用于生成高印刷质量的科技和数学类文档。

2020-01-29

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除