自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(30)
  • 资源 (3)
  • 收藏
  • 关注

原创 EMX,PROC文件与电感的简单仿真

EMX,电感建模,proc文件

2023-02-13 15:54:35 6451 7

原创 cdl import (spicein) 电阻电容电感二极管等特殊器件

如何在virtuoso中导入电阻

2022-06-12 22:04:19 1234 1

原创 BSIM4中moscap仿真注意nqsmod参数

BSIM4对mos管的建模有一个参数叫nqsmod,默认nqsmod=0,此时仿真mos管的channel cap会带宽偏大,如果要精确仿真moscap的带宽,需要手动改model file,讲nqsmod设成1;需要注意的是,varactor一般是积累型电容,不存在一个S/D的沟道,电容存在于gate和well之间,和mosfet是有差别的,暂时没有看到varactor有nqsmod的影响;在logic工艺的model file里,varactor被建模成一个变化的电容器件,而在RF工艺的model

2022-04-20 10:25:30 1421

原创 使用cadence spectre 的device check来检查电路晶体管的stress (over voltage)

Spectre Tech Tips: Spectre Assert and Design Check Overview - Custom IC Design - Cadence Blogs - Cadence Community

2021-12-06 17:00:53 3008 1

原创 xrun仿真verilog

xrun 仿真 verilog

2021-12-01 23:44:10 2890

原创 cadence IC61 virtuoso 添加反标电容/电阻以及其他需要lvs ignore的器件处理方法(两端口)

感谢EDA经验分享群的群友"郁离子"教授的方法,在.simrc里添加如下一段话,电路图示例和CDL out的结果如图所示。其他多端口的器件处理方法和short之后net的命名问题可以参考cadence help documentation。...

2021-11-28 20:14:26 4123

原创 德州农机sam palermo主页

Sam Palermo - Teaching

2021-11-10 19:06:35 208

原创 cadence schematic composer/ADE virtuoso IC61 怎么样修改器件annotation

Virtuosity: Saving, Loading and Sharing ADE Annotation Settings - Custom IC Design - Cadence Blogs - Cadence Community

2021-11-08 14:35:22 1441

转载 spectre仿真中如何保存dspf内部节点

https://community.cadence.com/cadence_technology_forums/f/custom-ic-design/42364/saving-net-voltage-and-pin-currents-at-block-level-and-also-saving-current-in-the-mos-transistors-pins-in-extracted-view/1362576?focus=true// Save file example. Run "spectr

2021-08-25 10:08:43 2674 2

原创 华大九天empyrean的RCExplorer试用

华大九天在19年往高校推广了一波自家的AMS设计套件。这两天无聊就试用了一把这个有趣的工具RCExplorer,这个工具简单的说就是在layout阶段获取一些关于寄生的先验知识,从而为优化版图设计或者在版图后调试提供依据。华大的这个工具可以说是对标candence家的layout EDA/EDA browser(打开layout view,launch->layout EDA就可以打开)过程不细说,大概步骤在华大的pdf教程中都能找到,第一步就是吃进和工艺相关的itf文件,table文件,lay.

2021-08-22 19:22:56 2274 3

转载 PDK中的几种描述工艺参数的文件

一般拿到PDK除了要检查metal scheme,spice模型之外还要检查rule deck,比如calibre的LVS rule文件,DRC文件,post layout提取用的xrc_rule,如果是starrc抽取则是nxtgrd文件,qrc则是qrcTechfile;另外一些工具也需要用到ict文件,比如layout EDA中EDA browser会用到ict文件,电磁抽取工具EMX也是如此。那么这些文件的关系如何呢?原文链接http://blog.sina.com.cn/s/blog_6c0.

2021-08-22 17:08:28 15083

原创 use adexl to generate ocean script, need to fix “getcellview()“ from adexl name to an ocnxl name.

use adexl to generate ocean script, need to fix "getcellview()" from adexl name to an ocnxl name.

2020-10-21 14:08:32 184

原创 fdisk 调整分区大小

针对磁盘空间大于分区空间的情况;df -h查看磁盘挂载信息umount 相关的挂载点dfisk 相关的磁盘,d删除分区,n新建分区,wq写入

2020-08-09 10:11:57 902

转载 MOSFet cutoff frequency ( From google)

2020-06-29 17:30:04 213

原创 在龙芯3B1500上编译安装ICESTORM (open source platform for lattice ICE40 serial FPGA)

1, 从github上clone 源码,如果速度慢,可以考虑用gitee先加载,再clone gitee;2,make;编译mpsee缺ftdi.h, dnf search ftdi, 安装libftdi-c++-devel.mips64el3, make install编译安装arachne-pnr1,从github上clone 源码,如果速度慢,可以考虑用gitee先加载,再clone gitee;https://gitee.com/bush200/arachne-pnr..

2020-06-09 23:48:34 284

原创 荔枝糖Lichee Tang FPGA(安陆,Analogic, 20K LUT)调试蜂鸟E203 RV helloworld

2020年5月份,因为老婆有笔几百块钱的经费,所以买了一块荔枝糖FPGA加调试器玩玩;那就顺便玩玩有人已经跑通的蜂鸟E203软核。上手后,安陆的TD软件安装很顺利,官方教程上也下载了带有全套工具链和环境的Ubuntu虚拟机。照着群里的教程想搞一个helloworld玩玩,问题来了。首先是helloworld无法编译的问题,后来知道是中断的问题,在Makefile中把编译选项修改一下,禁用PLIC:编译成功,运行sudo ./run_upload.sh hello, openocd报错,提示不

2020-06-04 23:34:58 3855 2

原创 bit, nibble, byte, word, double word, double int

nibble: x4byte: x8word: x16int/double word: x32(long) double int: x64

2020-04-28 14:43:26 1305

原创 Slicer simulation - Noise

Periodic noise analysis (pnoise) can be used to estimate the slicer noise. Pnoise is a small signal analysis performed after the pss has been calculated using SpectreRF shooting Newton periodic stead...

2020-04-07 19:15:37 910 1

原创 Slicer/DCVS simulation - metastability 动态比较器的亚稳态仿真

1, Dynamic latch comparator (slicer), rather than the traditional continuous time comparator, uses the "metastability" instead of "gain" or "bandwidth" to characterize the circuit design. [1]2, To s...

2020-04-03 16:10:45 1601 3

原创 DDR3 FLYBY and READ/WRITE Leveling

For signal integraty, the command bus are routed by fly-by type with a Rtt. As a result, there is a timing skew b/w the command bus and data bus among different DRAM chips. To align the timing, READ...

2020-03-30 20:09:02 1252

原创 Negative C Net for fast settling

Considering RL and CL,Normalized output Zunit = [ Zout || RL || 1/(sCL) ] / RL=[ Assume C (node effective Cap) = 2×C, A=gmRL, Ck=C/CL ], use python to calculate step response vs. couples of...

2019-07-23 08:38:48 184

原创 龙芯2F一体机硬盘修复

我把龙芯一体机的主板拆下来了,不想占地方,这样有时候不小心硬盘动了,开机就会强制检测磁盘检测结果会让手动修复。输入密码loongson,fsck -y /dev/sda2 (因为我的系统装在sda2),然后OK。...

2019-04-11 09:26:02 250

原创 python for autozero

#!/usr/bin/env python3from matplotlib import pyplot as pltimport numpy as npimport scipy as scinp.seterr(divide='ignore',invalid='ignore')fTs=np.arange(-10,10,0.01)pi=np.pih0=((1-sci.sin(2*...

2019-03-12 21:56:11 229

原创 Paper Reading: DOI: 10.1109/5.542410 (1)

Paper: Circuit techniques for reducing the effects of op-amp imperfections: autozeroing, correlated double sampling, and chopper stabilization

2019-03-10 14:42:25 1373

原创 bash script - targz (compress and backup function) -> Usage: Cmd + TargetFile or TagetPath

#!/usr/bin/env bashif [ "`echo $1 |grep '/$'`" = "$1" ]then    fullpath=$(echo $1 |sed "s/\/$//")else    fullpath=$1fiif [ "`echo $fullpath |grep '/'`" != "$fullpath" ]then    fullpath="./.

2018-08-15 23:31:39 202

原创 Matlab对传输函数的描述

一种最简单的方法:s=tf('s')然后直接输入传函eg:s=tf('s');Gdiff=s/(1e6+s);Gint=1/(1e6+s);Gtotal_1=Gdiff*Gint;Gtotal_2=Gdiff*Gint*Gint;Gtotal_4=Gdiff*Gint^4;Gtotal_8=Gdiff*Gint^8;step(Gtotal_1,'--+');hold;step(Gtotal_2,'...

2018-06-17 15:58:31 1269

转载 定点加减法,原码,反码,补码

符号位=0,正数,原码=反码=补码;符号位=1,负数,反码=符号位不变,原码数值位取反;补码=符号位不变,反码数值位+1所以定点加减法可以是所有加数的补码求和...

2018-05-30 23:45:43 1461

转载 Cadence ADE如何设置默认model library [转载]

在Cadence ADE仿真的时候,都希望默认的ModelLibrary就是自己当前使用工艺的Model设置,可是在存在多个工艺库的时候,Cadence在启动的时加载的libInit.il文件肯定只能是某个特定的,可以在.cdsinit文件里面设置。但是如果在一次使用Cadence的时候对多个工艺库的设计都需要进行仿真,就需要重新设置了。一个方便的方法如下:在CIW窗口里面输入load"/EDA/...

2018-05-29 00:36:16 14903 2

原创 解决deepin安装IC617,无法编译veriloga的问题

Issue Description:Error found by spectre during AHDL read-in.    ERROR (VACOMP-1008): Cannot compile ahdlcmi module library. Check the log file input.ahdlSimDB//dd21c4ff23f82b4da3de847a117eee55.vccs_h...

2018-05-29 00:03:47 5222 4

原创 取消VIM鼠标进入visual模式,并解决VIM下无法使用backspace(^$乱码)

待编辑

2018-05-16 00:09:36 8012

ImplementingDDR3MemoryControllerLatticeECP3.PDF

lattice DDR3 controller white paper; implementing DDR3 controller

2020-03-30

matlab的常用函数和函数库

介绍了常用的函数和用法实例,可以作为matlab入门或者快速手册使用

2019-03-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除