自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(29)
  • 资源 (4)
  • 收藏
  • 关注

原创 解决TeamViewer访问超时限制的问题

一、卸载TeamViewer:找到安装路径,点击uninstall卸载二、修改MAC地址1、查看现有的mac地址:打开cmd界面,输入ipconfig/all 按回车,红框标记为无线局域网mac地址。2、修改现有无线局域网mac地址(1)按住windows键+R键。在弹出来的对话框中输入“regedit”,再按回车进入注册表(2)在注册表中定位到HKEY_LOCAL_MACHINE\SYSTEM\CurrentControlSet\ Control\Class\{4D36E9

2021-05-13 11:26:25 11563 2

原创 近代数字信号处理实验-DFT分析信号的频谱

一、实验目的(1)掌握利用DFT近似计算不同类型信号频谱的原理和方法。(2)理解误差产生的原因及减小误差的方法。(3)培养学生自主学习能力,以及发现问题、分析问题和解决问题的能力。二、知识点及背景知识(1)利用DFT分析连续信号的频谱,DFT参数 (2) 声音包括语音、乐音、噪音等。乐音是发音物体有规律地振动而产生的具有固定音高的音,如音乐中的1(Do)、2(Re)、3(Mi)。按照音高顺次排列的一串乐音就是音阶,如大家熟悉的1(Do )2(Re)3(Mi) 4(Fa)5(So)6.

2021-01-20 19:08:48 7517 2

原创 Ubantu系统配置固定IP地址和Pycharm连接远程服务器

当需要远程办公时,使用pycharm远程连接服务器是必要的。PyCharm提供两种远程调试(Remote Debugging)的方式:配置远程的解释器(remote interpreter) 配置Python调试服务器(Python Debug Server)本篇文章主要介绍Ubantu系统下配置固定IP地址和配置远程解释器。一、Ubantu系统下配置固定IP地址(1)学生申请IP之后,会得到如下信息: (2)根据以上信息在服务器端进行如下配置:首先用root用户登陆,然后..

2020-12-11 10:52:04 274

原创 Python二级基础知识点

数据的存储结构:数据的逻辑结构在计算机中的表示 数据结构的描述:指相互有关联的数据元素的集合 线性链表:存储空间不一定连续,且各元素的存储顺序是任意的 结构化程序设计的基本结构:循环结构、分支结构、顺序结构 结构化设计方法生成的结构图中,带有箭头的连线表示模块之间的调用关系 结构化设计方法原则:自顶向下、模块化、逐步求精 结构化方法需求分析工具:数据流图(DFD)(带有名字的箭头...

2019-04-05 19:33:58 3494 1

原创 单片机期末复习代码

1、左右来回循环的流水灯的电路连接见图4-6,显示规律如图4-7。实现本任务要求,可以有多种软件实现方法。下面列出了3种,具体如下数组的字节操作实现#include <reg51.h>         #define uchar unsigned char                                    uchar tab[16 ]={ 0xfe ,...

2018-12-19 13:32:39 3564

原创 Verilog HDL设计实现m序列+选择器

设计m序列发生器,其特征方程为,输出数字序列信号m_sequence码速率为10Mbps;设计串行转并行电路,每4位m序列并行输出,先输入的串行数据位于并行输出数据的高位。设计测试程序,进行功能仿真,将Verilog代码和仿真波形图整理入实验报告。代码:功能代码:module shiyan51(reset,clock,clock_1,A_reg,m_sequence,m_seq_para...

2018-07-23 21:09:39 1768

原创 Oracle 软件的安装

1、在oracle主页上注册登录2、下载64位,将我接受许可协议,下载1of2和2of2,并解压到同一个文件夹   3、安装oracle软件双击database文件夹里面的setup.exe,启动OUI去掉:我希望通过.... 只安装软件,不创建数据库 选择语言 版本选择:选择企业版本 选择数据库安装位置...

2018-07-23 21:09:25 288

原创 数据库范式

延续目标:掌握数据库范式 一、延续训练题1、请简述满足1NF、2NF和3NF的基本条件。答:1NF:实体的属性不能再分,也就是列(或字段)不能再分2NF:每个非主属性完全函数依赖于主键3NF:每个非主属键之间不存在依赖关系2、根据数据库范式要求,完成下题:某信息一览表如下,其是否满足3NF,若不满足请将其化为符合3NF的关系。 考生编号 ...

2018-07-23 21:09:16 2080

原创 数据库设计方法

一、延续训练题假设你是一个小的录影带出租店的老板。你的出租店里面有3000部电影。每部电影都有DVD或VHS录像带号码。对于每部电影,需要知道它的标题和类别(如,喜剧,悬疑,剧情,动作,战争,或科幻)。另外,每部电影可能有多个副本。每部电影有一个特定的ID,一部电影可以是DVD或VHS格式。总是至少有一个DVD或VHS录像带关联到每部电影,或者说,每个DVD或VHS录像带始终是一个单一的特...

2018-07-23 21:09:06 2035

原创 SQL的各种使用方法

一、Select语句例子使用子查询查询employees表中,属于某一部门员工的姓名、职位、工薪、部门编号的信息提示:1)、需要关联employees表、departments表2)、已知的信息为部门名称,部门名称由用户自己给出按照要求写出SQL语句。 答案及结果图如下:部门名称我选为Executive部门SQL语句:select first_name||'--...

2018-07-23 21:08:54 3291

原创 数字图像处理实验三图像增强

一、实验目的(1)了解图像增强的目的及意义,加深对图像增强的     感性认识,巩固所学的图像增强的理论知识和相     关算法。(2)熟练掌握直方图均衡化和直方图规定化的计算过     程。(3)熟练掌握空域滤波中常用的平滑和锐化滤波器。(4)熟练掌握低通和高通滤波器的使用方法,明确不     同性质的滤波器对图像的影响和作用。(5)掌握最简单的伪彩色变换方法...

2018-07-23 21:08:29 12852

原创 数字图像处理实验四图像频域增强

一、实验目的(1)了解图像增强的目的及意义,加深对图像增强的感性认识,巩固所学的图像增强的理论知识和相关算法。(2)熟练掌握低通、高通、带通、同态滤波器的使用方法,明确不同性质的滤波器对图像的影响和作用。二、实验内容(1)选择合适的灰度图像,添加随机噪声(2)对图像进行低通滤波,观察滤波效果(3)选择一灰度图像,进行高通滤波,观察滤波效果(4)选择合适的灰度图像,进行带...

2018-07-23 21:08:17 9037

原创 数字图像处理实验5图像复原

一、实验目的(1)了解图像复原的目的及意义,加深对图像复原理论的认识。(2)掌握维纳滤波复原基本原理。(3)掌握约束最小二乘方复原方法。(4)掌握盲解卷积复原方法二、实验内容 (1)维纳滤波复原。 (2)约束最小二乘方复原 (3)盲解卷积复原三、实验代码及结果、分析(1)维纳滤波复原代码:I=imread('E:\大三课件\大三下\数字图像处理\实验\...

2018-07-23 21:07:35 7226

原创 数字图像处理实验6图像编码

一、实验目的(1)了解图像编码的目的及意义,加深对图像编码的感性认识。(2)熟练掌握哈夫曼编码的实现与应用。(3)掌握行程长度编码的实现与应用,尤其是BMP和PCX文件的行程长度编码*。二、实验内容(1)选择图像,对其进行哈夫曼编码,总结哈夫曼编码的压缩思想。(2)选择图像,对其进行行程编码,观察将图像保存为PCX文件后,图像占用空间的变化,并总结PCX的编码特点*三、实验...

2018-07-23 21:06:55 13338 11

原创 Verilog HDL语言实现ROM、RAM+有限状态机

利用MegaWizard实现创建RAM和ROM。(1)建立1个32单元8bit的RAM,并将0-31填入该RAM;(2)建立1个32单元8bit的ROM,建立.mif文件填入数据,并读出来显示。(1)、RAM功能代码:module shiyan41(clk,wren,reset,q);input clk;input wren;input reset;output [7:0...

2018-07-22 16:48:58 9801

原创 Verilog HDL语言设计实现D触发器+计数器

分别采用结构描述和行为描述方式设计一个基本的D触发器,在此基础上,采用结构描述的方式,用8个D触发器构成一个8位移位寄存器。进行功能仿真,查看结果,把上述内容整理到实验报告。 (1)行为描述: 单个D触发器功能代码:module shiyan31(q,d,clk);input d,clk;output q;reg q;always @(posedge clk)   %在时...

2018-07-22 16:44:58 26015

原创 Verilog HDL语言设计实现过程赋值+译码器

完成课本例题6.11、6.12,进行综合和仿真(功能仿真),查看综合和仿真结果,整理入实验报告。6.11module shiyan21(in,clk,out1,out2);input clk,in;output out1,out2;reg out1,out2;always @(posedge clk)beginout1<=in;out2<=out1;...

2018-07-22 16:39:26 1578

原创 Verilog HDL语言设计计数器+加法器

完成课本例题4.12,进行综合和仿真(包括功能仿真和时序仿真),查看仿真结果,将Verilog代码和仿真波形图整理入实验报告。功能文件:module shiyan1(out,reset,clk);input reset,clk;output reg[3:0] out;always @(posedge clk)beginif(reset)out<=0;else...

2018-07-22 16:35:07 10671

原创 Verilog HDL语言设计一个比较电路

设计一个比较电路,当输入的一位8421BCD码大于4时,输出为1,否则为0,进行功能仿真,查看仿真结果,将Verilog代码和仿真波形图整理入实验报告。代码:module yanxu12(in,out);input wire[3:0] in;output reg out;always @(in)beginif(in>4) out<=1;else o...

2018-07-22 16:30:48 7988

原创 Verilog HDL语言设计4个独立的非门

代码:module yanxu11(in,out);input wire[3:0] in;output reg[3:0] out;always @(in)beginout[0]=~in[0];out[1]=~in[1];out[2]=~in[2];out[3]=~in[3];endendmodule `timescale 1ns/1nsmod...

2018-07-22 16:28:29 3982

原创 单片机实验-DA实验

一、实验目的1、了解 D/A 转换的基本原理。2、了解 D/A 转换芯片 0832 的性能及编程方法。3、了解单片机系统中扩展 D/A 转换的基本方法。二.实验设备和器件1.KEIL软件2.实验箱三.实验内容利用 DAC0832,编制程序产生锯齿波、三角波、正弦波。三种波轮流显示,用示波器观看。 电路图及连线: 连线 连线孔1 ...

2018-07-22 16:23:23 5152

原创 单片机实验报告-串口实验

一.实验目的1.  掌握 51 单片机串口工作原理。2.  掌握 51 单片机串口初始化编程。3.  掌握 51 单片机串口的软硬件编程。二.实验设备和器件1.KEIL软件2.PROTEUS仿真软件   3.伟福实验箱三.实验内容(1)编程实现:编程实现:甲、乙双机串行通信,双机的 RXD 和 TXD 相互交叉相连,甲机的 P0 口接 8 个开关,乙机的 P...

2018-07-22 16:20:44 21312 4

原创 单片机实验-定时中断

一.实验目的1.掌握51单片机定时器工作原理。2.掌握51单片机中断系统工作原理。3.掌握定时器初始化编程。4.掌握中断程序的编写和调试。二.实验设备和器件1.KEIL软件2.PROTEUS仿真软件   3.伟福实验箱三.实验内容(1)编程实现:在单片机外中断0引脚接一个单脉冲源作为外部输入信号,通过发光二极管显示中断次数,发光二极管初始状态为全灭电路图...

2018-07-22 16:15:50 4469

原创 单片机实验报告-片内外RAM的数据转移

一、实验目的:1.掌握C51编程基础,C51程序结构。2.掌握C51数据类型、函数设计。3.掌握C51程序的编辑、编译、调试和运行 二、编程提示 编程将片外8000H单元开始的10字节的内容移至8100H开始的各单元中。8000H单元开始的10字节内容用编程方式赋值。 编程将片内50H单元开始10字节的内容初始化为0~9,然后移至55H开始的各单元中。 在片外RAM的2...

2018-07-22 16:11:47 16463

原创 实验四51单片机并口实验

一.实验目的:1. 了解51单片机I/O口的电气特性和驱动能力。2. 了解LED电路中加入限流电阻的原因。3. 掌握定时器原理及编程。4. 掌握并口程序的编辑、编译、调试和运行。二.实验设备和器件1. PC机 2. PROTEUS仿真软件   3. 实验箱 4. ISP下载器 5. 51仿真器            三.实验内容(1)编程实现:采用定时器0,每隔1s点亮1只发光二极...

2018-04-28 20:02:18 3011

原创 数字图像处理实验二 图像变换

 一、实验目的(1)了解图像变换的意义和手段。(2)熟悉傅立叶变换的基本性质。(3)通过实验了解二维频谱的分布特点。(4)了解余弦变换或Walsh-Hadamard变换二、实验内容    任意选择几幅图像,对其进行傅立叶变换,观察图像的频谱特征。   (1)对图像进行傅里叶变换(包括移位和未移位),观察频谱信号(2)观察频谱的三维图形① 移位前:v 代码:f=zeros(64,64);f(15:5...

2018-04-24 20:36:38 7737

原创 数字图像处理 实验一 图像的基本运算

实验一 图像的基本运算一、实验目的(1)掌握点运算和代数运算的算法实现和概念(2)掌握和几何运算的算法实现和概念(2)掌握灰度变换和几何变换的基本方法(3)理解图像灰度直方图的概念二、实验内容(1)任意选择几幅图像,查看其直方图,说明直方图和图像的对应关系。(2)任意选择几幅图像,对其进行灰度线性变换,结合以下情况分析输入图像和输出图像两者有何变化。Ø  当斜率a>1时;Ø  当斜率 a&l...

2018-04-10 00:00:51 13744

原创 C++中用frugally-deep调用keras的模型并进行预测

1、背景Python语言中的Keras库搭建深度学习模型非常便捷,但有时需要在 C++ 中调用训练好的模型,得到测试集的结果。比如将模型部署于FPGA,中间的一个步骤则需要用C++构建模型。但 Keras库没有提供 C++ API,其中一种解决方法是使用 TensorFlow 的 C++ API,但编译过程非常繁琐,难以成功,而另一种方法,如果不是必须要使用 GPU,frugally-deep是一个不错的选择。2、介绍frugally-deep是一个用C++实现的库,只依赖于三个头文件库..

2021-09-02 18:01:44 1194 1

原创 光波导总结资料

1、写出光波导中的麦克斯韦方程,并把光场分解为纵向分量与横向分量,求出混合模式HE与EH模式的横向电场强度与横向磁场强度的点积(用纵向分量表示)(需要有推导过程)解:在线性、各向同性且时不变的光波导中频域的麦克斯方程为:和化简上式中第三、四个式子,得到在HE和EH模式中,横向电场强度与横向磁场强度的点积如下:2、阶跃平面光波导的TE偶模的表达式为(部分求解)在边界上连续有: (1...

2020-06-09 17:24:39 5030

计算机二级备考.docx

备考计算机二级

2021-05-05

计算机网络知识点.docx

大学本科

2021-05-05

单片机-电子时钟 定时器 LCD1602

利用 CPU 的定时器和 LCD1602,设计一个电子时钟。格式如下:XX XX XX ,由左向右分别为:时、分、秒 ,加入整分钟蜂鸣器 3 声功能;加入键盘功能,能够设定时间

2018-12-19

数字图像处理 傅里叶变换

傅里叶变换,相位谱,频谱重构图像,任意选择几幅图像,对其进行傅立叶变换,观察图像的频谱特征。 (1)对图像进行傅里叶变换(包括移位和未移位),观察频谱信号 (2)观察频谱的三维图形进行傅里叶逆变换,重建图像 (4)根据幅值谱重建图像信息 (5)根据相位谱重建图像信息 图像平移之后的傅里叶幅度谱不会发生变化,而仅仅是相位谱产生了一定的相移特性,如图7 2、图像进行傅里叶变换后,进行傅里叶逆变换会还原为原来的图像,如图8 3、相位谱逆变换之后会大致还原原图像的轮廓、幅度谱逆变换之后还原不出原图像,只会确定图像的精度。如图8

2018-05-06

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除