自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

专业技术人员的归宿

语音识别,语音合成

  • 博客(38)
  • 资源 (1)
  • 收藏
  • 关注

原创 如何加快香山处理器Chisel->Verilog编译速度

相比使用默认的scala firrtl complier,使用CIRCT能够将香山代码编译到Verilog的速度提高50%左右。在执行make命令时加上MFC=1,例如make verilog MFC=1或make emu MFC=1。在本地从源码编译CIRCT,在编译完成后将circt/bin路径加入PATH中。使用GraalVM免费版作为JVM编译香山比OpenJDK快10%-20%。我们推荐使用GraalVM代替OpenJDK。使用CIRCT编译香山。

2023-10-09 13:48:02 277 1

原创 AXI4Arbiter object scala code reading

axi4arbiter.scala object

2023-10-09 10:42:48 626

原创 rocket-chip [email protected]验证环境操作指南

rocket-chip [email protected]验证环境操作指南。rocket-tools版本号。

2023-10-08 09:26:19 354

原创 install guide of [email protected]

显示的markdown文件简直达到专业精美程度,还不赞一个?

2023-10-07 17:08:56 698

原创 在设备树中描述中断

DTS,linux,interrupt,device-tree

2023-09-30 13:57:53 174

原创 在ubuntu20.04上面跑通rocket-chip仿真,用rocket-tools工具

make -jN$ cd vsim。

2023-09-26 09:34:46 288

原创 new (chisel3.stage.ChiselStage).execute --help ouput

treadle。

2023-09-19 09:59:03 97

原创 搭建qemu RISC-V运行Linux环境

8.运行发行版本OS(fedora&ubuntu)搭建qemu RISC-V运行Linux环境。3.编译Linux Kernel。8.1 下载安装fedora镜像。8.2 下载安装ubuntu镜像。7.启动基本Linux程序。4.编译busybox。6.编译安装qemu。

2023-09-18 16:05:22 288

原创 BufferParams in diplomacy-Parameter.scala

关于ReadyValidIO,DecoupledIO,IrrevocableIO的继承关系参考。Default buffer深度为2。

2023-09-15 10:01:10 180 1

原创 circt firtool man page

OPTIONS:

2023-09-04 18:02:57 351

原创 CHIPYARD环境安装与编译指南

cd circtninjacd circtcd buildninjagit branchautoconfmake。

2023-08-29 16:48:31 849

原创 RISCV-MINI环境安装与编译指南

git branchautoconfmakemakemake sbt>test。

2023-08-29 10:55:54 753 2

原创 ROCHET-CHIP环境安装与编译指南

g++-vgcc -vcd vsim。

2023-08-28 18:51:08 563

原创 rocket-chip DefaultConfig memory summary

freechips.rocketchip.system.DefaultConfig.conf128kbits name data_arrays_0_ext depth 512 width 256 ports mrw mask_gran 85.5kbits name tag_array_ext depth 64 width 88 ports mrw mask_gran 225.25kbits name tag_array_0_ext depth 64 width 84 ports mrw

2023-08-28 18:46:35 67

原创 来自西安交大的chisel教程

chisel和scala教程

2023-08-21 17:20:51 87

原创 SBT 那些常用的功能

关于sbt的一篇好文章

2023-08-20 09:31:16 39

原创 单核香山处理器memory list,总计2789K比特

XSTop make verilog跑了一天一夜终于出结果了,仔细检视了一下处理器memory list,总计2789k比特。包括single-port SRAM(1RW)和two-port SRAM(1R1W)

2023-08-10 10:25:50 125

原创 香山处理器跑仿真和跑FPGA两套环境配置过程小结

裸机ubuntu18.04上运行香山处理器(南湖)make verilog,以及 vmware虚拟机上运行香山处理器make emu

2023-08-08 14:52:37 603

原创 DC或者ICC工具check_library报错最直接简单解决方法

dc或者icc综合,在进行check_library时,如出现以下错误,有两种情况:Error: The check_library command failed to run. Check the installation of Library Compiler. (LCSH-3)1. 未安装library compiler2. 安装了library compiler,但是没有做关联。su rootcd /usr/synopsys/icc/O-2018.06-SP1/linux64/sy

2022-05-25 11:55:42 1478 1

原创 说说双面打印文档自动扫描后的页面重组

最近阿三在中印西部边界的班公湖和加勒万河谷一带比较得瑟,结果挨了一顿胖揍,微博群里一片双面打印,3D打印的呼声。真所谓"吹最牛的逼,挨最毒的打“。下面我要谈的可是真正的双面打印文档的事情。单位里最近买了个打印,复印,扫描三位一体机,各种牛逼功能嗨的一比,扫描文档只要把合同文本一放,唰唰唰自动进纸出纸,一页一页就扫描进电脑了。可是临了发现有个问题:扫描的双面打印合同,扫描出来却不是按照实际的正反页面排列的。看着几十个pdf页面文件,还要手动去修改文件名称,光想想脑袋瓜都疼。更何况以后还有一堆双面打印文档要

2020-06-30 10:41:10 410

原创 异步通知fasync在linux驱动和应用程序中编程步骤概括

异步通知fasync的编程牵涉到驱动和应用程序的联动,稍显复杂。现概括如下:应用程序 1,fcntl(fd, F_SETOWN, getpid());//指定一个文件为属主,与驱动程序联系起来2,Oflags = fcntl(fd, F_GETFL); //取得open时代文件标志符 3,fcntl(fd, F_SETFL, Oflags | FASYNC); //每当FASYNC标志状态改变时,linux设备驱动程序中的fasync()函数将得以执行。4,sign...

2020-05-16 21:38:17 245

原创 linux系统/proc目录信息详解

1、内存/proc/buddyinfo 伙伴系统的信息 /proc/pagetypeinfo 伙伴系统进一步细分信息/proc/zoneinfo 内存区域使用情况/proc/slabinfo /proc/meminfo 当前内存信息/proc/vmstat 虚拟内存统计信息/proc/vmallocinfo 虚拟内存分配信息/proc/swaps

2020-05-12 20:35:44 514

原创 How to speed up buildroot kernel downloading procedure

1,apt-get install nginx and start it.(ubuntu environment)2,manually download tarball from https://mirrors.edge.kernel.org/pub/linux/kernel/v4.x/3,move the tarball to /var/www/pub/linux/kernel/v4.x...

2020-05-08 08:09:25 237

原创 Ubuntu16.04平台Linux 驱动程序开发实践要点记录

ubuntu安装linux-headers-$(uname -r) 到/usr/src目录,同时在/lib/modules/$(uname -r)/build设立symbol linksudo apt-get install linux-headers-$(uname -r)如果在/usr/src目录下找得到相应内核开发头文件,则无需安装,直接symbol link即可。...

2020-05-03 17:21:54 329

原创 buildroot中修改和保存linux内核defconfig的方法

linux内核中修改和保存defconfig的方法如下:1. 要修改在arch/arm/configs下的文件xxx_defconfig2. make xxx_defconfig 会生成.config文件。3. make menuconfig 修改配置后保存4. make savedefconfig 生成./defconfig文件5. cp ./defconfig arch/arm/c...

2020-05-01 10:20:41 4112

原创 忘掉FTP吧,改用SFTP

想把自己办公桌ubuntu电脑上的文件拷贝回家里的ubuntu电脑上,一直以来用的是U盘。最近嫌U盘带来带去太烦,想把自己申请的阿里云虚拟主机空间充分利用起来,省去携带U盘的麻烦事。一开始安装了vsftpd,还要打开防火墙端口20,21。配置也很麻烦,搞了半天也没搞定,很是泄气。后来上网偶尔查到openssh里面sftp命令可以利用已有的SSH端口完成文件传输,使用方法还跟传统的ftp...

2020-04-25 22:58:10 816

原创 ubuntu16.04系统中webrtc audio processing模块下载编译踩坑过程记录

WebRTC是google开源的一个免插件实时视频通信技术,其分为web开发和native开发;目前支持chrome,firefox,android,ios,opera,edge。是一个真正意义上的跨平台免插件实时视频通信技术。但是因为我国著名的长城,要下载整个webRTC非常困难。本文主要是webRTC的native层语音处理的代码架构和对一个native层语音算法测试程序的梳理。nativ...

2019-12-27 14:32:01 559

原创 srilm下载安装踩坑记录

最近在做一个kaldi语音识别相关的项目,期间需要安装srilm工具包step1,运行kaldi/tools/extras/install_srilm.sh,结果提示要先下载srilm.tar.gz。step2,尝试下载http://www.speech.sri.com/projects/srilm/download.html,结果该链接早已经失效。step3,在csdn上找到一个sr...

2019-12-17 11:40:24 1598 1

原创 anaconda3+tensorflow+opencv3 视频人脸识别实战

因为项目上的需要,我需要去训练一个人脸识别的系统,但是机器视觉方向并不是我特别喜欢的方向,所以我特别急功求成,想尽快搭建一个人脸识别系统,其实在git上已经有很多相关论文还有已经训练好的模型,大家如果想去了解这方面的知识,这篇文章并不适合您看。当然,时间是必须去付出的,大家如果喜欢这方面的方向,就好好斟酌一下,神经网络现在应用的很广,推荐Tensorflow框架,实在是太简单方便搭建了。当然不要光...

2019-08-02 08:15:30 436

原创 嵌入式linux如何创建rootfs根文件系统的镜像文件

1,建立目录,名称为rootfs内含目标linux系统可见的根目录,比如/mnt,/dev,/proc,/mnt等等,注意sbin和bin目录内容用busybox来填充hemin@ubuntu1:~/work/mkrootfs/rootfs$ tree -L 1.├── bin├── dev├── etc├── home├── lib├── linuxrc -> bi...

2019-07-31 20:20:42 1501

原创 freedom-u-sdk中riscv_qemu模拟器linux编译安装运行实战宝笈

该项目的github地址如下:https://github.com/sifive/freedom-u-sdk首先,先clone该项目,执行make。git clone https://github.com/sifive/freedom-u-sdkgit submodule update --init --recursiveexport PATH=$PATH:/home/hemin...

2019-07-31 20:20:10 1157 1

原创 基于Tensorflow的人工智能Mnist图像识别训练实战

基于Tensorflow的人工智能Mnist图像识别训练实战记录备忘工作目录: ~/worker/ai/MNIST操作系统:Ubuntu16.04,也可以移植到windows上的Anaconda python环境中去user@ubuntu1:~/worker/ai$ tree.├── MNIST│ ├── input_data.py│ ├── input_data.p...

2019-07-23 22:30:18 328

原创 ubuntu16.04安装verilator+systemc并运行测试程序

Verilator 能够把可综合的(通常不是行为级)的Verilog代码,外加一部分Synthesis,SystemVerilog和一小部分Verilog AMS代码转换成C++或者SystemC代码。Verilator不是一个完整的模拟器(simulator),只是一个编译器(compiler)。安装verilatorubuntu16.04系统下面安装verilator非常简单:sudo...

2019-07-23 21:28:10 6044 1

原创 ROCKET-CHIP设计验证环境创建流程

Author:智能物联 www.aiotek.pro一, 如何在ubuntu16.04上建立ROCKET-CHIP设计验证环境#clone rocket-chip.git$cd /home/cad/work$git clone https://github.com/ucb-bar/rocket-chip.git$cd /home/cad/work/rocket-chip...

2019-07-22 22:23:05 948

翻译 Chisel 手册(中文part2)

Chisel 手册(中文part2)作者:Jonathan Bachrach, Huy Vo, Krste Asanović; EECS Department, UC Berkeley译者:智能物联(CSDN)6Updateables当描述wire和state节点的运作时,我们通常为输出端口指定一系列的条件更新,然后用若干独立语句把这些更新散播出去。举例来说,Data...

2019-07-22 18:53:08 739

原创 Anaconda+Tushare安装运行宝笈

金融数据库Tushare是基于Python开发的。Anaconda是最适合在windows上安装的python集成使用环境。话不多说,先下载 anaconda2,可以从清华的镜像库下载 https://mirrors.tuna.tsinghua.edu.cn/anaconda/archive/ , anaconda2对应python 2X版本,anaconda3对应python 3X版本,...

2019-07-21 17:48:49 1985

翻译 Chisel 手册(中文part1)

Chisel 手册(part1)作者:Jonathan Bachrach, Huy Vo, Krste Asanović; EECS Department, UC Berkeley译者:智能物联(CSDN) 1 简介本文为Chisel手册(Constructing Hardware In a Scala Embedded Language)。Chisel是一种内置于...

2019-07-20 17:42:50 1370

转载 Chisel 手册 英文版

Chisel ManualJonathan Bachrach, Huy Vo, Krste AsanovićEECS Department, UC Berkeley{jrb|huytbvo|krste}@eecs.berkeley.eduApril 10, 20161IntroductionThis document is a manual forChisel(...

2019-07-19 10:21:37 1147

shufflepage.tgz

单位里最近买了个打印,复印,扫描三位一体机,各种牛逼功能嗨的一比,扫描文档只要把合同文本一放,唰唰唰自动进纸出纸,一页一页就扫描进电脑了。可是临了发现有个问题:扫描的双面打印合同,扫描出来却不是按照实际的正反页面排列的。看着几十个pdf页面文件,还要手动去修改文件名称,光想想脑袋瓜都疼。更何况以后还有一堆双面打印文档要扫描,加入碰到一百页的咋整

2020-06-30

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除