自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(138)
  • 资源 (4744)
  • 收藏
  • 关注

转载 常见服务器小机型号,小机服务器 配置清单

小机服务器 配置清单 内容精选换一换Linux操作系统XEN实例变更为KVM实例前,必须已完成必要的驱动安装和配置。本节操作指导您使用自动化脚本的方式为Linux云服务器安装驱动、配置磁盘自动挂载等,并将XEN实例变更为KVM实例。XEN实例:S1、C1、C2、M1型弹性云服务器。KVM实例:参考规格清单,查询对应规格的虚拟化类型。为了同时支持XEN虚拟化和KVM虚拟化,LLinux操作系统XEN...

2021-08-12 04:46:24 1594

转载 网吧服务器管理维护,网吧服务器常用设置维护工具

网吧服务器常用设置维护工具 内容精选换一换代码迁移工具进行代码迁移时,需要调用Linux下的rpm、deb等命令才能完成扫描和迁移相关任务,这些命令和逻辑必须在后端Linux运行。插件只支持以Web模式使用root用户安装工具,不支持以CLI模式安装工具。由于root用户拥有最高权限,直接使用root用户登录服务器可能会存在安全风险。建议您使用普通用户登录服务器后切换为root用只有运行中的云服务...

2021-08-06 04:22:55 600

转载 css渲染完成ajax才加载,JQuery+CSS3实现Ajax加载时loading效果

#fountainG{position:relative;margin:10% auto;width:240px;height:29px}.fountainG{position:absolute;top:0;background-color:#33cc99;width:29px;height:29px;-webkit-animation:bounce_fountainG 1.3s infinite...

2021-08-05 17:33:41 265

转载 vc 服务器端口映射,vc端口映射源代码.doc

vc端口映射源代码.doc端口映射源代码/*端口映射PortTransfer_三种模式。(1) PortTransfer Port Dest_IP Port在运行本程序的计算机上监听Port端口,并将所有连接请求转到Dest_IP的Port去(2) PortTransfer ctrlIP ctrlPort Dest_IP Port和模式3配合用,程序将先主动连接ctrlIP:ctrlPort,之后...

2021-07-31 10:07:59 397

转载 ping 不同目标计算机,ping命令用法以及判断目标主机操作系统

1. ping命令当给某个IP地址发送一个数据包时,对方就要返回一个同样大小的数据包,根据返回的数据包可以确定目标主机的存在,也可以初步判断目标主机的操作系统等,利用它可以检查网络是否畅通。计算机“开始” - “运行” ,在弹出的对话框输入cmd,进入命令解释程序。1.1 ping 命令格式ping 127.0.0.1测试本机的TCP/IP协议,若不通,表示TCP/IP协议的安装或者运行存在某些问...

2021-07-29 02:34:54 3059

转载 计算机手工模拟实验会计,计算机模拟手工实验报告标准格式2.doc

计算机模拟手工实验报告标准格式2.doc计算机模拟手工实验学 生 实 验 报 告学 院 商学院 课程名称 计算机模拟手工实验 专业班级 会计学(4)班 姓 名 宋华 学 号 20091221325 学生实验报告学生姓名宋华学号20091221325实验项目计算机模拟手工实验必修 选修 演示性实验 验证性实验 操作性实验 综合性实验实验地点11-307实验年度2012-2013指导教师朱彬彬节次32...

2021-07-26 12:07:40 423

转载 计算机管理员截屏,你负责截图,我负责管理:Screenshot Journal

你会拿截图来做什么?有时候截图是日记本,定格住你和恋人的甜美瞬间;有时候截图是备忘录,记录下票务事项的详细信息;还有时截图是工具箱,帮助设计师查看画面细节。Screenshot Journal 是一款专业的截图管理应用,让查看和管理截图前所未有方便起来。特事特办,一键接管截图大权iOS默认会把截图和照片一股脑全塞在相机胶卷中,不仅想准确的找到一张截图很麻烦,用完之后想删除也不简单。Screensh...

2021-07-23 23:08:03 201

转载 计算机excel百分比怎么操作,excel怎么求百分比

excel求百分比的方法:首先汇总excel数据;然后在C2单元格,输入公式“=B2/$B$98”;最后拖动单元格的右下角就可以快速填充下面的单元格并获得百分比。本文操作环境:Windows7系统,Microsoft Office Excel2003版本,Dell G3电脑。方法:1,在数据的最下面我们把数据汇总一下,在A98单元格输入总计2,在右边的单元格,也就是B98单元格,我们点击工具栏上的...

2021-07-16 16:27:38 4360

转载 打开计算机没有运行程序吗,电脑打开之后运行程序打不开

病情分析:故障分析:造成运行打不开的原因有用户设置出现了问题找不到运行程序,电脑被中毒、也有可能是硬件故障造成。这些都是有一定可能性。桌面运行程序打不开怎么解决?解决方法如下:指导意见:一、运行没有找到  鼠标右击任务栏“开始菜单-自定义-高级”,在最下方勾选“运行命令”。如果已经勾上了, 就先取消,确定退出,在重新进入高级选项,在勾选“运行”命令查看运行shift能打开。二、安全模式修复  如果...

2021-07-14 10:18:32 154

转载 html旋转有阴影,CSS3打造带阴影的旋转3D图像

转至:http://www.shejidaren.com/css-3d-p_w_picpaths-flip-gallery.htmlCSS3可以实现很多创新好玩的交互效果,正如今天将和大家介绍的3D旋转图像,效果很漂亮,这个旋转图像的特别之处就是支持阴影旋转和兼容响应式网页设计,使得整体场景非常有感觉。代码的实现也很简洁,下面来看看这个介绍和CSS代码教程,完全不需要JavaScript哦!纯CS...

2021-07-04 07:57:56 224

转载 大学计算机学院学生会纳新介绍,我们不要标题 只要你|计算机工程系学生会纳新...

原标题:我们不要标题 只要你|计算机工程系学生会纳新WELCOME TO YOU学生会纳新开启你的美好大学生活和有意思的人发现美好的大学生活怀抱你的大学生活 咱计算机工程系学生会于2019年9月5日16:00,在礼堂演播大厅举行了纳新宣讲会。随着各部门部长进行的“自部”介绍,相信大家已经对系学生会有了一个初步的认识了吧。 文艺部 生活部 体育部 融媒体 纪检部 实践部 秘书处 青蜂志愿者队向下滑动...

2021-07-03 00:23:29 178

转载 软科2018大学计算机,2018中国大学排名新发:软科2018中国大学排名结果

【导语】教育要使人愉快,要让一切的教育带有乐趣。无忧考网为大家准备了以下内容,希望对大家有所帮助!2018年2月26日,作为全球四大大学排名系统之一,拥有15年历史的“世界大学学术排名(ARWU)”,发布2018“中国大学排名”。除我国港澳台地区外的实力的600所内地大学“排排坐”,清华大学、北京大学、浙江大学位列三甲,总分分别是95.3分、78.6分、73.9分。上海8校跻身前50强上海市共有2...

2021-06-28 16:08:36 296

转载 计算机学数字电子基础知识,什么是数字电路?数字电路基础知识

1、数字电路1.基本概念:工作在数字信号下的电路统称为数字电路。2.结构特点:数字电路是以二值数字逻辑为基础的,其中的工作信号是离散的数字信号。电路中的电子器件,如二极管、三极管(BJT)、场效应管(FET)处于开关状态,时而导通,时而截止。3.组成材料:数字集成器件所用的材料以硅材料为主,在高速电路中,也使用化合物半导体材料,例如砷化镓等。2、数字电路的发展1.发展历史:与模拟电路一样,数字电路...

2021-06-28 13:14:20 2966

转载 html表单博客,html表单

表单一,表单的初步认识说到表单,就不由自主地联想到了 web 开发的时候前端与服务器端的两种 HTTP 通讯方式。getpost它们的区别网上一搜一大堆,如:Get 和 Post 区别,这里就简单介绍一下了。get方式主要用于从服务端==查询和获取==资源,请求参数包含在 URL 中通过浏览器地址栏传输;post则多用于从客户端==提交==信息到服务器端,过程多用到表单 form。form表单是 ...

2021-06-27 01:13:45 84

转载 外键表显示在html,如何使值的外键显示在primefaces数据表中

我有一个primefaces数据表,其中一列的值是外键,我想显示附加到另一个数据库表中的外键的值,以显示在数据表的该列中.issue.xhtmlscrollable="true" style="width:1000px;" emptyMessage="#{msg['prometheus_issuesdisplayemptymessage']}">#{msg['prometheus_issue...

2021-06-22 15:04:35 203

转载 html5文本域边框管理,表单控件之富文本框实践

多行文本输入框(不是富文本框)的html代码如下:学历及经历:${emp.details}富文本的js代码如下:// create Editor from textarea HTML element with default set of tools$("#editor").kendoEditor({ resizable: {content: true,toolbar: true}});进入编辑页...

2021-06-22 00:37:59 325

转载 h 链接 html,HTML之基本语法(链接标签、路径的介绍和使用)

一、链接标签语法:这个标签上展示的内容作用:可以实现在当前页面跳转到新页面的操作属性1.target这个属性可以设置新页面在哪个窗口打开,当值为_blank时,可以在新窗口打开2.a标签中默认有一个href属性,存放内容是目标网页的地址3.href的属性值设置为#时,可以设置为空链接当用户点击时不会发生跳转,用来站位,一般用于开发阶段,因为很多页面还没有完成,用空链接进行站位超链接标签及属性值百度...

2021-06-20 06:56:33 2516

转载 网络连接计算机对象如何删除吗,怎么删除电脑wifi记录

如何删除笔记本电脑中保存的wifi热点,怎么删除电脑wifi记录?XP、Win7、Win8、Win10电脑中,删除wifi热点记录的操作方法都不一样;下面本文将会分别对XP、Win7、Win8、Win10电脑中,删出wifi记录的操作步骤进行详细的介绍。一、XP电脑删除wifi记录方法1、找到 无线网络连接在XP屏幕上找到“网上邻居”选项,鼠标右键,选择“属性”,如下图所示。可以看到 无线网络连接...

2021-06-20 04:08:38 678

转载 使用html5写见缝插针源码,HTML5见缝插针手机游戏代码-闯三关送口红.zip

【实例简介】【实例截图】【核心代码】闯关失败重新闯关恭喜您,闯关成功点击领取当前关数: 10// var loadedMusic = false;var game_id = $("#app").attr("data-game_id");document.body.addEventListener('touchmove', function(e) {e.preventDefault(); //阻止默...

2021-06-16 21:13:18 474

转载 html web 标准,Web 品质标准

Web 品质- 标准根据web标准编写您的页面有助于改善您的网站品质。HTML 标准XHTML 是最新的 HTML 标准,是用 XML 重新表达的 HTML 4.01。根据 HTML 4.01 编写页面可使您的站点尽可能地接近 XHTML 标准。阅读更多有关 HTML 的内容。CSS 标准对于高品质的站点来说,使用层叠样式表(CSS)是将内容与样式分离的首选方式。通过使用 CSS,您能够在一个单独...

2021-06-16 19:28:54 66

转载 html刷新不闪烁,css div固定不闪烁

css div固定不闪烁css3可以设置元素滑动到一定距离固定在顶部,主要通过以下几个css样式实现,不需要js,没有闪烁现象。position: -webkit-sticky;position: -moz-sticky;position: -ms-sticky;position: sticky;top: 0;z-index: 666;适用于移动端和PC端position:sticky这是css定...

2021-06-10 14:56:38 879

转载 html页面引入ts文件,html中引入ts,使用webpack如何正确配置?

目的:html多文件中script引入ts文件,然后通过webpack服务运行起来。部分代码:index.htmlindex.tsconsole.log(document.getElementById('app'))webpack.config.jsconst HtmlWebpackPlugin = require("html-webpack-plugin");module.exports = {...

2021-06-09 12:31:46 3020

转载 html tooltip 换行,echart的tooltip自定义换行

自定义换行,内容很长的时候tooltip : {trigger: 'axis',axisPointer : { // 坐标轴指示器,坐标轴触发有效type : 'shadow' // 默认为直线,可选为:'line' | 'shadow'},textStyle:{align:'left'},formatter:function (params) {var new...

2021-06-07 12:22:58 287

转载 html页面默认字体有哪些,html网页默认字体 - niuhongxia的个人空间 - OSCHINA - 中文开源技术交流社区...

pc端 网页默认的字体为 “宋体”iphone 网页默认的字体为“Heiti SC”黑体-简,黑体-简的英文名称为Heiti SCandroid 网页默认的字体为 “Droid Sans,Droid Sans Fallback”(跟微软雅黑很接近)Arial是最常用的标准英文字体Microsoft YaHei 微软雅黑的英文黑体:SimHei 宋体:SimSunMac OS的一些:华文细黑...

2021-06-03 07:01:18 2299

转载 html注册页面美化,css登录界面美化

本篇文章主要介绍如何用css美化网站登录界面,需要的小伙伴参考下。代码如下:美化登录*{margin:0;padding:0;}/*去掉页面样式*/body{color:white;}.content{background-color:pink;position:absolute;/*绝对定位*/top:50%;left:0;width:100%;height:400px;margin-top:-...

2021-06-03 01:46:43 1768

转载 html5注册的正则表达,正则表达式-创建正则表达式

正则表达式就是一个字符模式。和String对象类似,在JavaScript中正则表达式也是一个对象,它主要用于字符串的模式匹配。创建正则表达式有两种方式:隐式创建(文字量方法)和显示创建(使用构造函数)。隐式创建正则表达式使用隐式方法创建正则表达式的方法为:将文字量的正则表达式赋值给一个变量。正则表达式是包含在两个斜杠之间的一个或多个字符,在后一个斜杠的后面,可以指定一个或多个选项。隐式创建正则表...

2021-05-31 04:29:09 417

转载 android获取系统控件,android 怎么查看当前在触发哪个控件或者哪个控件

先了解fragment的生命周期,@Override public View onCreateView(LayoutInflater inflater, ViewGroup container, Bundle savedInstanceState) {View view=inflater.inflate(R.layout.XXXX, null);//这个就相当于你加的布局TextView text...

2021-05-29 08:12:31 307

转载 超级火柴人高尔夫2+android,超级火柴人高尔夫2

超级火柴人高尔夫2 Super Stickman Golf 2:这个游戏相传之作周期有两年时间,也就是说,在安卓刚刚开始大家普遍玩游戏的时候,这个游戏就在规划之作中,时隔两年,看看这个游戏是不是还对你的口味,小编我先去玩一下再说。官方介绍《超级火柴人高尔夫2 Super Stickman Golf 2》是一款休闲游戏,玩家可以在16个全新的地图中打球,还可以在4个一代改建地图中挥杆。每一个洞都有三...

2021-05-28 14:31:30 60

转载 android存储千条数据库,在查询超过几千个结果时,android中的Ormlite DAO变得非常慢...

当有几千个结果时,通过Ormlite DAO查询数据时遇到问题.码:List pl = db.getPointsDAO().queryBuilder().where().eq("route_id", croute).query();当我想得到一个大的点列表List< Point>当前路线croute我需要等待40秒才能获得40.000分.其中Point.class是:@Database...

2021-05-26 19:21:39 215

转载 android 可视采集,基于android系统的可视电话中的图像采集与传输-电子与通信工程专业论文.docx...

基于android系统的可视电话中的图像采集与传输-电子与通信工程专业论文Classified Index: TP393.03 U.D.C: 621.39Dissertation for the Master`s Degree in EngineeringIMAGE ACQUISITION AND TRANSMISSION IN VIDEO TELEPHONE BASED ON ANDROIDCa...

2021-05-26 12:29:20 66

转载 android tv 时间错误,Android为TV端助力 MediaPlayer 错误代码(error code)总结 转载

publicstaticfinalintMEDIA_ERROR_IOAddedinAPIlevel17Fileornetworkrelatedoperationerrors.ConstantValue:-1004(0xfffffc14)publicstaticfinalintMEDIA_ERROR_MALFORMEDAddedinAPIlevel1...

2021-05-26 03:02:59 230

转载 单片机c语言怎么关中断,单片机C语言代码:外部中断,按下中断按键LED不亮,LED1正常亮...

该楼层疑似违规已被系统折叠隐藏此楼查看此楼* 名称:外部中断* 日期:2013-9-10* 晶振:11.0592MHZ******************************************************************/#include //包含头文件,对IO进行定义,可以选中后右击,查看里面具体定义。/***************************...

2021-05-24 12:08:43 241

转载 c语言间隔天数,在C中用指针实现计算两个日期的间隔天数

该楼层疑似违规已被系统折叠隐藏此楼查看此楼#include#includestruct time{unsigned int year;unsigned int month;unsigned int day;};int month_days[12]={31,28,31,30,31,30,31,31,30,31,30,31};int chack_year(int year){if(year%4==0...

2021-05-23 18:07:35 108

转载 树莓派c语言霍尔控制pwm背光,用PWM实现多个呼吸灯间歇发光

之前介绍过RPI.GPIO 模块的脉宽调制(PWM)功能,并且实现了呼吸灯的效果。本文更进一步,加入了多个呼吸灯并且用不同的步调间歇发光。电路连接程序源码#!/usr/bin/env python2.7import RPi.GPIO as GPIO # always needed with RPi.GPIOfrom time import sleep # pull in the sleep fu...

2021-05-22 11:45:40 465

转载 在顺序表删除第i个元素c语言,[C语言链表]怎样在一个顺序表中删所有的x元素

居然忘了,为了调试上贴,我专门编了个。#include #include struct student{int num;float score;struct student *next;};int n=0;struct student del(struct student **head,int num){struct student *p1,*p2,*temp;int flag=0;if(*hea...

2021-05-22 08:59:14 758

转载 macos linux swap,禁用和启用macosx的swap

1、前提和背景:至少有8G内存,使用的SSD硬盘。swap长期使用较多,例如1G+2、目的:减少swap产生的磁盘写操作,延长SSD硬盘使用寿命3、方法:禁用sudo launchctl unload -w /System/Library/LaunchDaemons/com.apple.dynamic_pager.plistsudo rm /private/var/vm/swapfile*12su...

2021-05-19 22:31:18 2851

转载 c语言及程序设计基础 pdf,c语言程序设计基础.pdf

2018-3-3 1预备知识CPU 内存条 硬盘显卡 主板 显示器之间的运行关系2018-3-3 ...

2021-05-17 20:04:03 454

转载 c语言程序不断循环,我这个C语言程序为什么实现不了一直循环啊,按一次只循环一次...

#include#include#define uchar unsigned char#define uint unsigned intsbit key1=P3^0;sbit key2=P3^1;uchar num;void delay(uint z) //毫秒级延迟{uint x,y;for(x=z;x>0;x--)for(y=114;y>0;y--);}void xunhua(...

2021-05-17 11:35:51 455

转载 汉王速录笔linux驱动下载,汉王速录笔v586s驱动

汉王速录笔v586s驱动是汉王速录笔v586s的官方驱动程序,为连接汉王速录笔v586s的电脑识别并使用该速录笔的功能提供支持,并可以对速录笔的参数进行设置,包括功能键、扫描分隔符、扫描模式等设置,是汉王汉王速录笔v586s用户的必需程序。汉王速录笔v586s主要特性1、轻巧便携,人性化设计汉王速录笔净重30克,整机(含USB线和底座)总重105克。人体工程学设计,适合左右手使用,手持扫描舒适轻松...

2021-05-16 17:53:50 813

转载 C语言涉及数组的大作业,c语言大作业-基于数组的学生信息管理系统.docx

c语言大作业-基于数组的学生信息管理系统《C语言程序设计实训1》报告设计题目:基于数组的学生信息管理系统学院名称:科学技术学院专业:软件工程班级:108班姓名:大神学号 594188 提 交 日期:2014年6月一、实验内容编写并调试程序,实现学校各专业班级学生信息的管理。定义学生信息的结构体类型,包括:学号、姓名、专业、班级、3门成绩。N定义为符号常量(学生数)。全局类型的定义如下:#...

2021-05-16 02:53:03 336

ORB指令-数值方法(matlab版.第四版)-mathews

7.6 ORB指令7.6 ORB指令 概要 由2个以上的触点串联连接的回路称为串联回路块。 1. 指令格式 2. 对象软元件 功能和动作说明 1. ORB指令(回路块的并联连接) 并联连接串联回路块时,分支的起点使用LD、LDI指令,分支的结束使用ORB指令。 ORB指令,与后述的ANB指令等相同,都是不带软元件编号的独立指令。 有多个并联回路时,在每个回路块中使用ORB指令,从而连接。 注意要点 使用ORB指令连接的并联回路数量没有限制。(上述理想程序的场合) 此外,虽然成批使用ORB指令也无妨,但是由于LD、LDI指令的重复使用次数限制在8次以下,因此请务必注 意。(上述不理想程序的场合)。 指令 位软元件 字软元件 其他 系统·用户 位数指定 系统·用户 特殊模块 变址 常数 实数 字符串 指针 X Y M T C S D□.b KnX KnY KnM KnS T C D R U□\G□ V Z 修饰 K H E "□" P ORB 无对象软元件 ORB 回路块或 基本指令 1步 ORB ― 连续执行型 脉冲执行型 指令记号 执行条件 X 000 X 001 Y 006 X 00 2 X 003 X 00 4 X 005 串联回路块 理想的程序 0000 LD X 000 0001 A N D X 001 0002 LD X 002 0003 A N D X 003 0004 0005 LD I X 004 0006 A N D X 005 000 7 0008 O U T Y 006 ORB ORB 不理想的程序 0000 LD X 000 0001 A N D X 001 0002 LD X 002 0003 A N D X 003 0004 LD I X 004 0005 A N D X 005 000 6 000 7 0008 O U T Y 006 ORB ORB O RB指令 O RB指令 梯形图程序 指令表程序 0000202

2021-07-12

程序组织单元-abb acs510 变频器中文使用说明书

2.4 程序组织单元 程序组织单元(Program Organization Unit,POU)由声明区和代码区两部分组成,是用户程序 的最小软件单元,它相当于传统编程系统中的块(Block),是全面理解新语言概念的基础。按功 能分程序组织单元(POU)可分为函数(FUN)、功能块(FB)和程序(PRG)。 在“POU 窗口”中管理的编程对象在整个工程范围内都有效,且可以被工程中所有的“应用” 通过任务配置来调用,即实例化。在“设备窗口”中管理的编程对象(即针对特定应用的编程对 象),只能被本应用来使用,或被本应用的“子应用”实例化后使用。 程序组织单元的标准部分(如函数、功能块、程序和数据类型等)都由德国 3S 公司或 PLC 制 造商提供,集成在库文件中。用户也可通过自己的逻辑思想与 PLC 制造商所提供的程序组织单元 自行设计程序组织单元,再对其进行调用和执行。 用户可以在项目中使用右键菜单的命令“添加对象”,选择“程序组织单元”,会弹出如图 2.x 所示的对话框,用户可以选择添加程序,功能块或函数,下拉菜单中可以选择对应的编程语言。 添加后,可以在左边的项目设备树中查看程序组织单元括号内对应的属性,FB 为功能块,FUN 为 函数,PRG 为程序。 图 2.x 程序组织单元 程序组织单元具有如下特点:  可对每个应用领域设置用户的功能块库,便于工程的应用。例如,建立运动控制功能块库 等  可对功能块进行测试和记录  能够提供全局范围内的库存取功能  可重复使用,使用的次数无限制  可改变编程,用于建立功能块网络 2.4.1 程序组织单元结构 一个完整的 POU 由如下三大部分组成,结构图如 2.x 所示。  POU 类型及命名  变量声明部分

2021-07-12

时钟复位的写法-labview模块和工具包(嵌入式设计)

三、时钟、复位的写法 1. 普通时钟信号 用 initial 语句产生时钟的方法如下: //产生一个周期为 10 的时钟 parameter FAST PERIOD 10 reg C10ck ln工 tia1 begiη C10ck 0; forever # (FAST PERIOD/2) C10ck - Clock end 用 always 语句产生时钟的方法如下: //用 always 语句产生一个周期为 10 的时钟 parameter FAST PERIOD 10 reg C10ck initia1 C10ck 0;//将 C10ck 初始化为 O 163

2021-07-12

next方-ps入门教学

(1) next方 (2) 。 setCurrentThread,可以 。 code/rxjs/chat/app/ts/services/ThreadsService.ts setCurrentThread(newThread: Thread): void { this.currentThread.next(newThread); } 2. 未 。 Thread, Thread中 所有Message 。 有 所 (1) messagesService.makeThreadAsRead Thread, Thread中 所有 Message (2) currentThread 发出 Thread, Thread。 图灵社区会员 xiaochao12312312ff([email protected]) 专享 尊重版权

2021-07-12

state中-pcs7v8.0的使用

(1) state中 (2) 中 (3) 。 。 13.5.4 action creator state中 action creator。 code/redux/angular2-redux-chat/app/ts/actions/ThreadActions.ts export const ADD_THREAD = '[Thread] Add'; export interface AddThreadAction extends Action { thread: Thread; } export const addThread: ActionCreator<AddThreadAction> = 图灵社区会员 xiaochao12312312ff([email protected]) 专享 尊重版权

2021-07-12

uA可由外中断或掉电唤醒定时器唤醒-python实现倒计时小工具

掉电模式:<0.1uA,可由外中断或掉电唤醒定时器唤醒 看门狗 P0 P1 P2 P3 P4 集成MAX810 专用复位电路 IAP 1280/512/256 字节 SRAM E2PROM Data Flash 1/4/8/16/60/62K 字节 Flash 程序存储器 内置系统 ISP 监控程序 IAP INT0/P3.2 INT1/P3.3 可下降沿/低电平中断 最多40个I/O 1-2个串口(UART) 独立波特率发生器 集成片内 R/C时钟 5组8位 并行端口 P0/P1 P2/P3/P4 新增�了 P4口1T 8051单片机,最快指令快24倍 增加了内部低压检测复位,复位电压在ISP编程时可选 ISP编程时可选择 时钟源是内部R/C 时钟还是外部时钟 大 容 量 128 0 字 节 SR AM 提升的是性能 降低的是成本 超强抗干扰,超级加密 8051单片机全球第一品牌,全球最大的8051单片机设计公司 全部中国大陆本土独立自主知识产权;品质��:TSMC�海制造 micro TMSTC 宏 晶 科 技 我们直销,所以低价,以�单价为以�单价为200K/M起定量,以�价格运费�客户承担,��10片起,如�价格不�,可来电要��价��10片起,如�价格不�,可来电要��价10片起,如�价格不�,可来电要��价片起,如�价格不�,可来电要��价,如�价格不�,可来电要��价价 全部175℃ 8小时高温烘烤 提供客制化 IC设计服务 型� 工作电压 (V) Flash 程序 存储 器 (byte) SRAM 字节 EEP ROM 串 行 口 并 可 掉 电 � � S P I 普通 定时器 计数器 T0/T1 外部 管脚 也能 掉电 �� 独 立 波 特 率 发 生 器 掉 电 � � 专 用 定 时 器 CCP PCA PWM 可当 外部 中� 并可 掉电 �� A/D 8路 看 门 狗 支 持 掉 电 � � 外 部 中 � 内 部 低 压 检 测 中 � 内部 复位 (可选 复位 门槛 电压) LQFP44 PDIP40 不�荐PLCC44 管脚 兼容 传统 落后 型� 部分封装 价格(RMB ¥) LQFP44 PDIP40 STC10Fxx系列单片机选型价格一�表,另�单片机选型价格一�表,另�,另�STC10L系列(工作电压2.1V-3.6V) STC10F04 5.5-3.8/3.3 4K 256 - 1-2 无 2 � - 无 无 � 5个 � � ¥2.4 ¥3.0 89C51 STC10F04XE 5.5-3.8/3.3 4K 512 5K 1-2 无 2 � - 无 无 � 5个 � � ¥2.4 ¥3.0 89C51 STC10F08 5.5-3.8/3.3 8K 256 - 1-2 无 2 � - 无 无 � 5个 � � ¥2.6 ¥3.0 89C52 STC10F08XE 5.5-3.8/3.3 8K 512 5K 1-2 无 2 � - 无 无 � 5个 � � ¥2.6 ¥3.0 89C52 STC10F10XE 5.5-3.8/3.3 10K 512 3K 1-2 无 2 � - 无 无 � 5个 � � ¥2.8 ¥3.3 89C54 STC10F12XE 5.5-3.8/3.3 12K 512 1K 1-2 无 2 � - 无 无 � 5个 � � ¥2.8 ¥3.3 89C54 IAP10F14X 5.5-3.8 14K 512 IAP 1-2 无 2 � - 无 无 � 5个 � � ¥2.8 ¥3.3 用户可将用户程序区的 程序Flash当EEPROM用 STC11Fxx系列单片机选型价格一�表,另�单片机选型价格一�表,另�,另�STC11L系列(工作电压2.1V-3.6V) STC11F16XE 5.5-4.1/3.7 16K 1280 45K 1-2 无 2 �� 无 无 � 5个 � � ¥4.1 ¥4.5 89C54 STC11F32XE 5.5-4.1/3.7 32K 1280 29K 1-2 无 2 �� 无 无 � 5个 � � ¥4.2 ¥4.7 89C58 STC11F56XE 5.5-4.1/3.7 56K 1280 5K 1-2 无 2 �� 无 无 � 5个 � � ¥4.3 ¥4.8 89C516 STC11F60XE 5.5-4.1/3.7 60K 1280 1K 1-2 无 2 �� 无 无 � 5个 � � ¥4.3 ¥4.8 89C516 IAP11F62X 5.5-4.1/3.7 62K 1280 IAP 1-2 无 2 �� 无 无 � 5个 � � ¥4.3 ¥4.8 用户可将用户程序区的 程序Flash当EEPROM用 定时器/计数器 0/1 掉电唤醒专用定时器 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 40 39 38 37 36 35 34 33 32 31 30 29 28 27 26 25 24 23 22 21 Vcc ALE/P4.5 NA/P4.4 P4.7/RST TxD/P3.1 XTAL2 XTAL1 Gnd WR/P3.6 RD/P3.7 INT/RxD/P3.0 CLKOUT0/INT/T0/P3.4 CLKOUT1/INT/T1/P3.5 INT1/P3.3 INT0/P3.2 P0.0 P0.1 P0.2 P0.3 P0.4 P0.5 P0.6 P0.7 NA/P4.6 P2.7 P2.6 P2.5 P2.4 P2.3 P2.2 P2.1 P2.0 CLKOUT2/P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 TxD/P1.7 P D IP 40 36 个 I/O , 增 加 了 P 4 口 INT/RxD/P1.6 33 32 31 30 29 28 27 26 25 24 23 1 2 3 4 5 6 7 8 9 10 11 P4 .7 /R ST T xD /P 3. 1 IN T /R xD /P 3. 0 IN T 0/ P3 .2 IN T 1/ P3 .3 C L K O U T 0/ IN T /T 0/ P3 .4 C L K O U T 1/ IN T /T 1/ P3 .5 A L E /P 4. 5 N A /P 4. 4 Vcc XTAL2 XTAL1 Gnd P3.6/WR P3.7/RD P0 .4 P0 .5 P0 .6 N A /P 4. 6 P4 .1 P2 .7 P2 .6 P2 .5 P0 .7 P1 .5 IN T /R xD /P 1. 6 T xD /P 1. 7 P4 .3 P1.4 P1.3 P1.2 P1.1 CLKOUT2/P1.0 P0.0 P0.1 P0.2 P0.3 P4.2 P4.0 P2.0 P2.1 P2.2 P2.3 P2.4 LQFP44 40个I/O 34 35 36 37 38 39 40 41 42 43 44 22 21 20 19 18 17 16 15 14 13 12 增加了P4口 并可位寻址 传统8051单片机划时代�级换代产品,管脚完全兼容,直接取代传统89C51/89S51系列单片机 大陆本土宏晶STC姚永�独立创新设计, 请不要再抄袭我们的设计、规格和管脚排列,再抄袭就很无������ 串口作主机通信时,可控制串口通信在 [RxD/P3.0,TxD/P3.1]和[RxD/P1.6,TxD/P1.7] 之间��切换,实现2组串口 建议用户将串口设在[RxD/P1.6,TxD/P1.7] 封装形�: LQFP44(12mm x 12mm, �烈�荐使用) PDIP40(正常生产但不�荐使用) PLCC44(不�荐使用) QFN-40(5mm x 5mm,未生产) 每片单片机具有 全球唯一身份证号码(ID号) 超级加密,加密坚不可摧 �烈�荐选择LQFP44贴片封装 SOP20/16贴片封装 1 2 3 4 5 6 7 8 16 15 14 13 12 11 10 9 Vcc Gnd T1OUT R1IN R1OUT T1IN T2IN R2OUT C1+ V+ C1- C2+ C2- V- T2OUT R2IN Vcc Vcc Gnd PC_RxD(COM Pin2) PC_TxD(COM Pin3) 2 3 5 STC3232,STC232,MAX232,SP232 PC COM + + MCU_TxD(P3.1) MCU_RxD(P3.0) Vin SW1 Power On 47μF C1 0.1μF C2 + C4<47pF C3<47pF X1 STC 单片机在线编程//ISP线路 0.1μF 0.1μF 0.1μF 0.1μF 10μF 10μF 10K 南通 Tel: 0513-5501 2928 5501 2929 深圳 Tel: 0755-8294 8411 8294 8412 已�大批量供货6年以�的历史 可用IAP15F2K61S2仿真(仅供参考) 官方网站: www.STCMCU.com www.GXWMCU.com 该芯片也可使用USB 转串口芯片PL2303

2021-07-12

手动加入测试点-academicwriting3rdedanswerkey

C.3.3 手动加入测试点 1. 使用 Manufacturing>Testprep>Manual…命令,主要的功能是用来手动加入测 试点,比较特别的是此命令有两个 Options Tab 的项目:Testprep Manual 以 及 Testprep Properties 两个项目。其控制面板的 Options Tab 默认值为显示 Testprep Manual 项目,提供一些手动加入测试点的选项,如图 C-20 所示。 图 C-20 2. 如果按下控制面板 Options Tab 的 Properties…按钮,控制面板 Options Tab 会改变成为 Testprep Properties 的项目,主要的功能是用来设定与测试点相 关的属性,如图 C-21 所示。 图 C-21

2021-07-12

软件之作法-academicwriting3rdedanswerkey

1. 安装 Allegro 15.0 软件之前的注意事项 (1) 请务必移除任何旧版的 Allegro 以及 License Manager 软件,例如: Allegro 13.6、14.0、14.1 或 14.2。系统虽然准许同时安装不同版本的 Allegro 软件,让不同版本的 Allegro 软件共存,但在使用前必须先修 正系统之变量才能正确激活,我们建议使用者不要如此做,以免会产 生不稳定或不知名的错误,徒增困扰。 Allegro 15.0 无法使用旧版的 License Manager,必须使用安装光盘 所附的 FlexLM Version 8.2a。 (2) 以下的安装过程是将 Allergo 以及相关的软件安装于 D:\Cadence 目录 下。 2. 安装 Allegro 15.0 软件之作法 若你要使用的軟體 License 是採用透過網路上的 License Server 去參 考到 License 的話,請跳過此步驟,直接至步驟 2。 若你的軟體 License 是註冊在本台電腦上,則必須先處理 Cadence 公司交付給你的 License.dat 檔案,此檔案記錄有你的電腦名稱以及 軟體授權的相關資訊,使用者必須修改檔案內的電腦名稱及 License 核心程式的安裝路徑,才能正常啟動 License,並將此 License 檔案 放置於 C:\Temp\license.dat,以供安裝程式使用,修改方法如下: License.dat 純文字檔案的格式如下: # Release (PSD150) license file. # Please do not remove the following line: it identifies this license file # systemid=786543 workorder=90533659 # # NOTE: in DAEMON paths with spaces, quotes ("") are REQUIRED as shown here # DAEMON cdslmd "c:\Program Files\Cadence\License Manager\cdslmd.exe"

2021-07-12

视频播放器-tongweb7企业版用户手册

6.1 视频播放器 6.1.1 ffmpeg 库的配置 从 http://ffmpeg.zeranoe.com/builds/网站上 1.下载 Dev 版本,里面包含了 ffmpeg 的 xxx.h 头文件以及 xxx.lib 库文件; 2.下载 Shared 版本,里面包含了 ffmpeg 的 dll 文件; 3.将这两部分文件拷贝到 VC 工程下面就可以了。 FFMPEG 库移植到 VC 需要的步骤: 在 VC 下使用 FFMPEG 编译好的库,不仅仅是把.h,.lib,.dll 拷贝到工程中就行了,还需要做以下几步。(此方法适 用于自己使用 MinGW 编译的库,也同样适用于从网上下载的编译好的库,例如 http://ffmpeg.zeranoe.com/builds/)。 (1)像其他额外库一样,设置 VC 的 Include 路径为你 c:\msys\local\include,设置 VClib 路径为次 c:\msys\local\bin, 增加操作系统的一个 Path c:\msys\local\bin(这一步好像不是必须的)。 (2)将 mingw 安装目录下的 include 的 inttypes.h,stdint.h,_mingw.h 三个文件拷到你 ffmpeg 库的目录下的 include (3)在_mingw.h 文件的结尾处(在#endif 一行之前)添加了一行: #define __restrict__ (4)把所有 long long 改成了__int64,如果是直接在 vs2008 下编译,则这个修改应该是不需要的(这步我没有遇到) (5) #ifdef __cplusplus #include "stdio.h" #include "stdlib.h"

2021-07-12

路径MTU发现-深信服scsa认证考试总题库

第24章 TCP的未来和性能 24.1 引言 T C P已经在从1200 b/s的拨号S L I P链路到以太数据链路上运行了许多年。在 8 0年代和9 0年 代初期,以太网是运行 T C P / I P最主要的数据链路方式。虽然 T C P在比以太网速率高的环境 (如T 2电话线、F D D I及千兆比网络)中也能够正确运行,但在这些高速率环境下, T C P的某 些限制就会暴露出来。 本章讨论T C P的一些修改建议,这些建议可以使 T C P在高速率环境中获得最大的吞吐量。 首先要讨论前面已经碰到过的路径 M T U发现机制,本章主要关注它如何与 T C P协同工作。这 个机制通常可以使T C P为非本地的连接使用大于 5 3 6字节的M T U,从而增加吞吐量。 接着介绍长肥管道 (long fat pipe),也就是那些具有很大的带宽时延乘积的网络,以及 T C P 在这些网络上所具有的局限性。为处理长肥管道,我们描述两个新的 T C P选项:窗口扩大选 项(用来增加 T C P的最大窗口,使之超过 6 5 5 3 5字节)和时间戳选项。后面这个选项可以使 T C P对报文段进行更加精确的 RT T测量,还可以在高速率下对可能发生的序号回绕提供保护。 这两个选项在RFC 1323 [Jacobson, Braden, and Borman 1992]中进行定义。 我们还将介绍建议的T / T C P,这是为增加事务功能而对 T C P进行的修改。通信的事务模式 以客户的请求将被服务器应答的响应为主要特征。这是客户服务器计算的常见模型。 T / T C P 的目的就是减少两端交换的报文段数量,避免三次握手和使用 4个报文段进行连接的关闭,从 而使客户可以在一个RT T和处理请求所必需的时间内收到服务器的应答。 这些新选项(路径 M T U发现、窗口扩大选项、时间戳选项和 T / T C P)中令人印象最深刻 的就是它们与现有的 T C P实现能够向后兼容,即包括这些新选项的系统仍然可以与原有的旧 系统进行交互。除了在一个 I C M P报文中为路径M T U发现增加了一个额外字段之外,这些新的 选项只需要在那些需要使用它们的端系统中进行实现。 我们以介绍近来发表的有关T C P性能的图例作为本章的结束。 24.2 路径MTU发现 在2 . 9节我们描述了路径M T U的概念。这是当前在两个主机之间的路径上任何网络上的最 小M T U。路径M T U发现在 I P首部中继承并设置“不要分片( D F)”比特,来发现当前路径上 的路由器是否需要对正在发送的 I P数据报进行分片。在 11 . 6节我们观察到如果一个待转发的 I P 数据报被设置D F比特,而其长度又超过了 M T U,那么路由器将返回 I C M P不可达的差错。在 11 . 7节我们显示了某版本的 t r a c e r o u t e程序使用该机制来决定目的地的路径 M T U。在11 . 8 节我们看到 U D P是怎样处理路径 M T U发现的。在本节我们将讨论这个机制是如何按照 R F C 1191 [Mogul and Deering 1990]中规定的那样在T C P中进行使用的。 在本书的多种系统(参看序言)中只有Solaris 2.x支持路径MTU发现。

2021-07-12

TCP的坚持定时器使用247_下载-深信服scsa认证考试总题库

第22章 TCP的坚持定时器使用247 下载

2021-07-12

尾部封装-深信服scsa认证考试总题库

2.3 尾部封装 RFC 893[Leffler and Karels 1984]描述了另一种用于以太网的封装格式,称作尾部封装 (trailer encapsulation)。这是一个早期B S D系统在DEC VA X机上运行时的试验格式,它通过 调整 I P数据报中字段的次序来提高性能。在以太网数据帧中,开始的那部分是变长的字段 (I P首部和T C P首部)。把它们移到尾部(在 C R C之前),这样当把数据复制到内核时,就可以 把数据帧中的数据部分映射到一个硬件页面,节省内存到内存的复制过程。 T C P数据报的长 度是5 1 2字节的整数倍,正好可以用内核中的页表来处理。两台主机通过协商使用 A R P扩展协 议对数据帧进行尾部封装。这些数据帧需定义不同的以太网帧类型值。 现在,尾部封装已遭到反对,因此我们不对它举任何例子。有兴趣的读者请参阅 RFC 893 以及文献 [ L e ffler et al. 1989]的11 . 8节。 2.4 SLIP:串行线路IP S L I P的全称是Serial Line IP。它是一种在串行线路上对 I P数据报进行封装的简单形式,在 RFC 1055[Romkey 1988]中有详细描述。S L I P适用于家庭中每台计算机几乎都有的 R S - 2 3 2串 行端口和高速调制解调器接入 I n t e r n e t。 下面的规则描述了S L I P协议定义的帧格式: 1) IP数据报以一个称作E N D(0 x c 0)的特殊字符结束。同时,为了防止数据报到来之前 的线路噪声被当成数据报内容,大多数实现在数据报的开始处也传一个 E N D字符(如果有线 路噪声,那么E N D字符将结束这份错误的报文。这样当前的报文得以正确地传输,而前一个 错误报文交给上层后,会发现其内容毫无意义而被丢弃)。 2) 如果 I P报文中某个字符为 E N D,那么就要连续传输两个字节 0 x d b和0 x d c来取代它。 0 x d b这个特殊字符被称作S L I P的E S C字符,但是它的值与A S C I I码的E S C字符(0 x 1 b)不同。 3) 如果I P报文中某个字符为 S L I P的E S C字符,那么就要连续传输两个字节 0 x d b和0 x d d来 取代它。 图2 - 2中的例子就是含有一个 E N D字符和一个E S C字符的 I P报文。在这个例子中,在串行 线路上传输的总字节数是原 I P报文长度再加4个字节。 图2-2 SLIP报文的封装 S L I P是一种简单的帧封装方法,还有一些值得一提的缺陷: 1) 每一端必须知道对方的 I P地址。没有办法把本端的 I P地址通知给另一端。 2) 数据帧中没有类型字段(类似于以太网中的类型字段)。如果一条串行线路用于 S L I P, 那么它不能同时使用其他协议。 第2章 链 路 层使用17 下载 IP数据报

2021-07-12

可输送纸张尺寸-软件测试[(美)ron patton中文电子版

(3) 可输送纸张尺寸 ※1: 支持的信封 : Monarch/Com-10/DL/C5/Choukei-3/Kakukei-2/Kakukei-3/Youkei-2/Youkei-4 ※2: 推荐媒体 : SF-4A3F ※3: 能输送 , 但不能显示。 ※4: 目标 (由评价结果改进) 给纸部分 主机 500页给纸组件 多张旁路 纸张尺寸 11×17 Yes Yes Yes 8.5×14 216×356mm Yes Yes Yes 8.5×13.5 216×343mm Yes Yes Yes 8.5×13.4 216×340mm Yes Yes Yes 8.5×13 216×330mm Yes Yes Yes 8.5×11 Yes Yes Yes 8.5×11R Yes Yes Yes 7.25×10.5 ※3 No No Yes 7.25×10.5R ※3 No No Yes 5.5×8.5 No No Yes 5.5×8.5R Yes Yes Yes A3 Yes Yes Yes B4 Yes Yes Yes A4 Yes Yes Yes A4R Yes Yes Yes B5 Yes Yes Yes B5R Yes Yes Yes A5 No No Yes A5R Yes Yes Yes B6R No No Yes A6R No No Yes 8K Yes Yes Yes 16K Yes Yes Yes 16KR Yes Yes Yes 双重明信片 No No Yes 信封 ※1 No No Yes (单张给纸有效 ) 定制 No No Yes 特殊 No No Yes 纸张类型 薄纸 55~59g/m2 Yes Yes Yes 普通纸 60~105g/m2 Yes Yes Yes 再生纸 Yes Yes Yes 厚纸 106~128g/m2 No No Yes (A4或更少 ) (多张给纸允许 ) 厚纸 129~200g/m2 No No Yes (A4或更少 ) (多张给纸允许 ) ※4 厚纸 200g/m2 No No Yes OHP纸 No No Yes 标签 ※2 No No Yes 标签纸 No No No

2021-07-11

外部制动模块-安川伺服驱动说明书.

功能模块 8.4 外部制动模块 驱动功能 功能手册, (FH1), 04/2014, 6SL3097-4AB00-0RP4 459 8.4 外部制动模块 可通过电源调试向导激活该功能模块。 在参数 r0108.26 中可以检查当前的配置。 此时,相应的二进制端口必须通过例如控制单元、TM31 或 TB30 上的数字量输入输出连接到制动模块。 为达到制动模块的最大功率,必须禁用最大 Vdc 控制。 图 8-5 示例:控制 2 个书本型制动模块 特性 ● 使电机停转,而不向供电电源反馈能量,例如在电源停电时 ● 直流母线快速放电(适用于书本型) ● 通过驱动对象电源控制外部制动模块的端子(适用于书本型和装机装柜型) ● 最多可以控制 8 个并联的制动模块 ● 对外部制动模块上的故障可进行应答

2021-07-11

自动优化-安川伺服驱动说明书.

伺服控制 4.8 自动优化 驱动功能 116 功能手册, (FH1), 04/2014, 6SL3097-4AB00-0RP4 4.8 自动优化 “自动优化”这一概念涵盖了所有在运行期间基于内部测量值调整控制器参数的驱动内部功 能。 自动优化功能主要应用于: ● 调试支持 ● 在负载机械剧烈变化的情形下调整控制器 所设置的参数可在参数中查看,但不会得到非易失性保存。 重要自动优化方式一览 方法 伺服控 制 矢量控 制 注释 转速控制器优 化 - X p1960 = 3.4 时,测量整个惯性转矩并据此设置转速控制器以及加 速前馈。 控制器自动设 置 X - 按照该步骤可通过 STARTER 自动设置转速控制器。 通过自动设置转速控制器可自动设置电流设定值回路 中的滤波器(例如:用于降低共振)。 一键优化 X - 按照该优化方法可在伺服控制时自动优化转速控制器 和 EPOS 控制器。 测量一次控制对象后便可据此设置控制器。 在线优化 X - 按照该优化方法可永久评估控制器参数或确定已设置 的惯性转矩(电机和负载转矩)。 使用 EPOS 时总是推荐使用该办法。 调试时没有使用组态工具(例如 STARTER)来优化 EPOS 位置控制器时,也能使用在线优化。 如果没有必要持续测量/优化,则建议在测得控制器数 据并将控制器优化和滤波器设置测得值进行了非易失 性存储后,再次撤销在线优化。 电流设定值滤 波器适配 X - 电流设定值滤波器适配设计用于运行中机械共振频率 会变化的系统。 为此,所选的电流设定值滤波器会自动移至机械共振 频率。

2021-07-11

列表项插入-鲁棒控制——线性矩阵不等式处理方法 俞立 文字版 非扫描版

7.3 列表项插入 7.3.1 列表项插入函数分析 列表项的插入操作通过函数 vListInsert()来完成,函数原型如下: void vListInsert( List_t * const pxList, ListItem_t * const pxNewListItem ) 参数:

2021-07-11

什么是列表和列表项?-鲁棒控制——线性矩阵不等式处理方法 俞立 文字版 非扫描版

7.1 什么是列表和列表项? 7.1.1 列表 列表是 FreeRTOS 中的一个数据结构,概念上和链表有点类似,列表被用来跟踪 FreeRTOS 中的任务。与列表相关的全部东西都在文件 list.c 和 list.h 中。在 list.h 中定义了一个叫 List_t 的 结构体,如下: typedef struct xLIST { listFIRST_LIST_INTEGRITY_CHECK_VALUE (1) configLIST_VOLATILE UBaseType_t uxNumberOfItems; (2) ListItem_t * configLIST_VOLATILE pxIndex; (3) MiniListItem_t xListEnd; (4) listSECOND_LIST_INTEGRITY_CHECK_VALUE (5) } List_t; (1) 和 (5) 、 这 两 个 都 是 用 来 检 查 列 表 完 整 性 的 , 需 要 将 宏 configUSE_LIST_DATA_INTEGRITY_CHECK_BYTES 设置为 1,开启以后会向这两个地方分别 添加一个变量 xListIntegrityValue1 和 xListIntegrityValue2,在初始化列表的时候会这两个变量中 写入一个特殊的值,默认不开启这个功能。以后我们在学习列表的时候不讨论这个功能! (2)、uxNumberOfItems 用来记录列表中列表项的数量。 (3)、pxIndex 用来记录当前列表项索引号,用于遍历列表。 (4)、列表中最后一个列表项,用来表示列表结束,此变量类型为 MiniListItem_t,这是一个 迷你列表项,关于列表项稍后讲解。 列表结构示意图如图 7.1.1.1 所示: uxNumberOfItems pxIndex xListEnd 列表 图 7.1.1.1 列表示意图 注意!图 7.1.1.1 中并未列出用于列表完整性检查的成员变量。 7.1.2 列表项 列表项就是存放在列表中的项目,FreeRTOS 提供了两种列表项:列表项和迷你列表项。这 两个都在文件 list.h 中有定义,先来看一下列表项,定义如下: struct xLIST_ITEM { listFIRST_LIST_ITEM_INTEGRITY_CHECK_VALUE (1) configLIST_VOLATILE TickType_t xItemValue; (2) struct xLIST_ITEM * configLIST_VOLATILE pxNext; (3) struct xLIST_ITEM * configLIST_VOLATILE pxPrevious; (4) void * pvOwner; (5)

2021-07-11

或以上版本-智能边缘计算:让ai在你身“边”

浏览器 IE 6.0 或以上版本  Web 服务器 e-cology 系统的 Web 服务器可以根据需要进行选择,对 Web 服务器有如下配置要求: 项目 配置 CPU 奔腾 800 及以上 内存 最少 256M,建议 512M 或以上配置 硬盘 空闲 1G 以上 显示 14”显示器,256 色显示 操作系统 Windows NT 4.0+SP4 Option Pack Window 2000 Server 或以上 Linux 6.1 或以上 其它支持 Web 服务器的操作系统 Web 服务器 IIS 4.0 及以上 Apache 1.3.X 其它支持 JAVA 的 Web 服务器 当确定 Web 服务器成为性能瓶颈的时候,可以考虑安装多台 Web 服务器,每个 Web 服务器上执行 Web 系统 配置工具指向不同的中间层。  中间层 中间层的任务是运行 e-cology 系统的应用服务,一个中间层服务器往往要为多个客户端(包括 Web)提供服 务,因此对中间层机器的配置要求一般较高,常规的配置要求如下:

2021-07-11

误差反向传播-effective akka

5.3 误差反向传播 本节中,我们的⽬标是寻找⼀种计算前馈神经⽹络的误差函数E(w)的梯度的⼀种⾼效 的⽅法。我们会看到,可以使⽤局部信息传递的思想完成这⼀点。在局部信息传递的思 想中,信息在神经⽹络中交替地向前、向后传播。这种⽅法被称为误差反向传播(error backpropagation),有时简称“反传”(backprop)。 应该注意的是,在神经⽹络计算的⽂献中,反向传播这个术语⽤于指代许多不同的事物。例 如,多层感知器结构有时被称为反向传播⽹络。反向传播这个术语还⽤于描述将梯度下降法应 ⽤于平⽅和误差函数的多层感知器的训练过程。为了不让概念发⽣混淆,仔细研究⼀下训练过 程的本质是很有⽤的。⼤部分训练算法涉及到⼀个迭代的步骤⽤于误差函数的最⼩化,以及通 过⼀系列的步骤进⾏的权值调节。在每⼀个这样迭代过程中,我们可以区分这两个不同的阶 段。在第⼀个阶段,误差函数关于权值的导数必须被计算出来。正如我们稍后会看到的那样, 反向传播⽅法的⼀个重要的贡献是提供了计算这些导数的⼀个⾼效的⽅法。由于正是在这个阶 段,误差通过⽹络进⾏反向传播,因此我们将专门使⽤反向传播这个术语来描述计算导数的过 程。在第⼆个阶段,导数⽤于计算权值的调整量。最简单的⽅法,也是最开始由Rumelhart et al.(1986)考虑的⽅法,涉及到梯度下降。认识到这两个阶段属于不同的阶段是很重要的。因 此,第⼀阶段,即为了计算导数⽽进⾏的误差在⽹络中的反向传播阶段,可以应⽤于许多其他 种类的⽹络,⽽不仅仅是多层感知器。它也可以应⽤于其他的误差函数,⽽不仅仅是简单的平 ⽅和误差函数。它也可以⽤于计算其他类型的导数,例如Jacobian矩阵和Hessian矩阵,正如我 们将在本章后⾯看到的那样。类似地,第⼆阶段,即使⽤计算过的导数调整权值的阶段,可以 使⽤许多最优化⽅法处理,许多最优化⽅法本质上要⽐简单的梯度下降更强⼤。 171

2021-07-11

用例的进一步讲解-ug实体建模实例

5.5  用例的进一步讲解  5.5.1  错误:玩弄“复用”  前面提到的 CRUD 实际上就是“复用”用例的一种情况。再看下面的例子:� � 图 5-17� “复用”用例错误示例——缺陷管理系统�

2021-07-11

包含的类型差异-orb-slam3: an accurate open-source library for visual visual-inertial and m

转换3到3.0包含的类型差异

2021-07-11

相位解缠结果-pt5108规格书 high-psrr 500ma ldo

图3.11 相位解缠结果 (5)控制点选择(GCP Selection):输入用于轨道精炼的控制点文件,可以用已有的文件也 可在此选择控制点。 在 Refinement GCP File(Mandatory)项中,点击 按钮,自动打开流程化的控制点选 择工具,并输入了相应的参考文件。

2021-07-11

角度合成顺序-pt5108规格书 high-psrr 500ma ldo

图3.9 选择角度数据集 第二步:角度数据波段合成 使用 New File Builder 工具可以进行不含坐标信息文件的合成。下面介绍角度数据的合 成步骤,要注意角度数据的合成时的顺序是卫星天顶角(Sensor Zenith)、卫星方位角(Sensor Azimuth)、太阳天顶角(Solar Zenith)、太阳方位角(Solar Zenith)。 图 3.10 角度合成顺序 第三步:角度数据重采样 查看元数据信息,角度数据集的行列数是 271*406,而发射率的行列数是 1354*2030, 因此要用发射率的 GCP 文件来校正角度数据集需要对角度数据集重采样。 打开 Raster Management/Resize Data 工具,打开输入重采样数据的对话框,选择角度数 据合成结果,点击 OK。

2021-07-11

分别显示大气校正前和大气校正后的图像-pt5108规格书 high-psrr 500ma ldo

(6) 分别显示大气校正前和大气校正后的图像 下面是对大气校正前后图像波谱曲线的对比分析。 (1) 在 Layer Manager 中,选中大气校正后的图层,选择主菜单->Display-> Profiles-> Spectral(或图标 ),打开大气校正后的波谱曲线窗口; (2) 在 Layer Manager 中选中大气校正前的图层,同样的方法打开大气校正前的波 谱曲线窗口; (3) 将鼠标移动到一个植被区域,在两个 Spectral Profile 窗口中分别显示该像元的 波谱曲线; (4) 对比大气校正前后的波谱曲线,可以看到在一定程度上去除了部分大气的影响, 比如蓝色波段,像元值变小了,主要是去除了大气散射的影响。

2021-07-11

cgi和HTM脚本-stanford大学的matlab压缩感知工具箱sparselab说明文档

29.3 连接Web服务器 可以使用统一资源定位符 ( U R L )连接We b服务器。U R L包含两部分信息: • 协议。 • 地址和数据。 其中,协议包括 h t t p、f t p、m a i l t o、f i l e、t e l n e t和n e w s。这里我们只关心 h t t p协议(超文本 传输协议 )。 地址一般是D N S域名或服务器主机名,也可以是 I P地址。其他数据可以是你所要访问文 件的实际路径名。 所有的连接都基于T C P协议之上,缺省的端口号为 8 0。 如果We b服务器在你的本地主机上,而相应的主页为 i n d e x . h t m l,那么可以使用下面的 U R L: h t t p : / / l o c a l h o s t / i n d e x . h t m l 一般来说, i n d e x . h t m l是缺省下载的文件,即该页面是你的 We b服务器的缺省页。这样, 你可以只输入如下的U R L: h t t p : / / l o c a l h o s t / 29.4 cgi和HTM脚本 当浏览器发出下载页面的请求时, We b服务器将会对收到的 U R L进行分析。如果其中含 有c g i - b i n,服务器将打开一个连接,通常是连接相应 c g i脚本的管道。该 c g i脚本所有的输入输 出都将通过该管道。如果该 c g i脚本用于显示 We b页面,那么它的输出中必须要包含必要的 H T M L标记,这样该页面才能够按照服务器所能够理解的格式被显示出来,因此我们有必要 了解一些H T M L的知识。We b服务器将该页面返回给发出请求的浏览器显示出来。表 2 9 - 1列出 了一些常用的H T M L标记。 29.4.1 基本cgi脚本 所有的c g i脚本都应当位于 We b服务器的c g i - b i n目录中,不过在不同的服务器中该目录会 有所不同。可以通过查看配置文件 s r m . c o n f中S c r i p t A l i a s一段来改变该目录的位置,并允许该 服务器运行 c g i脚本。所有的脚本文件名都应以 . c g i做后缀。而其他 We b页面都位于 h t m l或 h t d o c s目录下,并且带有 . h t m l后缀。所有的脚本都应具有这样的权限。 chmod 755 script.cgi 所有We b页面连接的缺省用户身份为 n o b o d y,不过可以通过配置 h t t p d . c o n f文件来改变这 326 第五部分 高级s h e l l编程技巧 下载 用户使用浏览器连接 Web页面 Web服务器 (apache?) cgi脚本获取信息,进行处 理后返回结果

2021-07-11

Rlogin的例子-高校正版授权endnote x9下载、安装及使用详细教程

26.3 Rlogin的例子 在这里举两个例子:第一个是当 R l o g i n会话建立的时候,客户和服务器的协议交互;从第 二个例子可以看到,当用户键入中断键以取消正在服务器运行的程序时,服务器将产生很多 输出。在图1 9 - 2中,我们给出了通常情况下,R l o g i n会话上的数据流交互情况。 26.3.1 初始的客户-服务器协议 图2 6 - 5显示的是从主机b s d i到服务器 s v r 4的R l o g i n建立一个连接时的时间系列 (在图中,去 掉了通常的T C P连接的建立过程,窗口通告以及服务类型信息)。 上节介绍的协议对应图中的报文段 1 ~ 9。客户发送一个字节的 0(报文段1)之后发送 3个 字符串(报文段 3)。在本例中,这 3个字符串分别是: r s t e v e n s(客户的登录名)、r s t e v e n s (服务器的登录名)和 i b m p c 3 / 9 6 0 0(终端类型和速率)。当服务器确认了这些信息后回送一个 字节的0(报文段5)。 然后服务器发送窗口请求命令(报文段 7)。这是采用T C P紧急方式发送的,我们又一次看 到一个实现 ( S V R 4 )采用较老的但更普通的解释,即紧急指针指明的序号是紧急数据的最后一 个字节加1。客户回送1 2字节的数据:2字节的0 x ff,2字节的‘s’,4个16 bit长度的窗口数据。 下面的4个报文段( 10, 12, 14和1 6)是由服务器发送的,是从服务器操作系统的问候 ( g r e e t i n g )。之后报文段1 8是一个7字节长度的外壳进程提示符“ s v r 4%”。 客户输入的信息如图 1 9 - 2所示,每次发送一个字节。客户和服务器都可以主动中断该连 接。如果我们输入一个命令,让服务器的外壳程序终止运行,那么服务器将中断该连接。如 果我们给R l o g i n客户键入一个转移符(通常是一个“ ~”),紧跟着一个句点或者是一个文件结 束符号,那么客户将主动关闭该连接。 298使用TCP/IP详解,卷1:协议 下载

2021-07-11

一个子网的例子-高校正版授权endnote x9下载、安装及使用详细教程

3.6 特殊情况的IP地址 经过子网划分的描述,现在介绍 7个特殊的 I P地址,如图3 - 9所示。在这个图中, 0表示所 有的比特位全为0;- 1表示所有的比特位全为 1;n e t i d、s u b n e t i d和h o s t i d分别表示不为全0或全 1的对应字段。子网号栏为空表示该地址没有进行子网划分。 图3-9 特殊情况的IP地址 我们把这个表分成三个部分。表的头两项是特殊的源地址,中间项是特殊的环回地址, 最后四项是广播地址。 表中的头两项,网络号为 0,如主机使用B O O T P协议确定本机 I P地址时只能作为初始化过 程中的源地址出现。 在1 2 . 2节中,我们将进一步分析四类广播地址。 3.7 一个子网的例子 这个例子是本文中采用的子网,以及如何使用两个不同的子网掩码。具体安排如图 3 - 1 0 所示。 图3-10 作者所在子网中的主机和网络安排 如果把该图与扉页前图相比,就会发现在图 3 - 1 0中省略了从路由器 s u n到上面的以太网之 间的连接细节,实际上它们之间的连接是拨号 S L I P。这个细节不影响本节中讨论的子网划分 第3章 IP:网际协议使用33 下载 IP 地 址 可 以 为 描 述 网络号 子网号 主机号 源 端 目的端 0 0 O K 不可能 网络上的主机(参见下面的限制) 0 主机号 O K 不可能 网络上的特定主机(参见下面的限制) 1 2 7 任何值 O K O K 环回地址(2 . 7节) -1 -1 不可能 O K 受限的广播(永远不被转发) n e t i d -1 不可能 O K 以网络为目的向n e t i d广播 n e t i d s u b n e t i d -1 不可能 O K 以子网为目的向n e t i d、s u b n e t i d广播 n e t i d -1 -1 不可能 O K 以所有子网为目的向 n e t i d广播 以太网,子网140.252.1 以太网,子网140.252.13.32 作者所在子网140.252.13 SLIP子网

2021-07-11

环回接口-高校正版授权endnote x9下载、安装及使用详细教程

2.7 环回接口 大多数的产品都支持环回接口( Loopback Interface),以允许运行在同一台主机上的客户 程序和服务器程序通过 T C P / I P进行通信。A类网络号1 2 7就是为环回接口预留的。根据惯例, 大多数系统把 I P地址1 2 7 . 0 . 0 . 1分配给这个接口,并命名为 l o c a l h o s t。一个传给环回接口的 I P数 据报不能在任何网络上出现。 我们想象,一旦传输层检测到目的端地址是环回地址时,应该可以省略部分传输层和所 有网络层的逻辑操作。但是大多数的产品还是照样完成传输层和网络层的所有过程,只是当 I P数据报离开网络层时把它返回给自己。 图2 - 4是环回接口处理 I P数据报的简单过程。 图2-4 环回接口处理IP数据报的过程 图中需要指出的关键点是: 1) 传给环回地址(一般是1 2 7 . 0 . 0 . 1)的任何数据均作为 I P输入。 2) 传给广播地址或多播地址的数据报复制一份传给环回接口,然后送到以太网上。这是 因为广播传送和多播传送的定义(第 1 2章)包含主机本身。 3 ) 任何传给该主机 I P地址的数据均送到环回接口。 看上去用传输层和 I P层的方法来处理环回数据似乎效率不高,但它简化了设计,因为环 回接口可以被看作是网络层下面的另一个链路层。网络层把一份数据报传送给环回接口,就 像传给其他链路层一样,只不过环回接口把它返回到 I P的输入队列中。 在图2 - 4中,另一个隐含的意思是送给主机本身 I P地址的 I P数据报一般不出现在相应的网 络上。例如,在一个以太网上,分组一般不被传出去然后读回来。某些 B S D以太网的设备驱 动程序的注释说明,许多以太网接口卡不能读回它们自己发送出去的数据。由于一台主机必 20使用TCP/IP详解,卷1:协议 下载 IP输出 函数 IP输入 函数 放入IP输入 队列中 放入IP输入 队列中 是 否 是 目的IP地址是否与接 口IP地址相同? 否,用ARP获 取目的主机的 以太网地址 环回驱动程序 目的I P地址是否与广播 地址或多播地址相同? 发送 以太网 接收 以太网驱 动程序 基于以太网帧 类型进行分用

2021-07-11

使用区域数据工作-vscode 配置 python3开发环境的方法

8.7 使用区域数据工作 许多情况下数据使用不同于在 VTK 中已经找到的形式来组织。例如,你的数据可能是表格 式的或者较高的维。而且有时你可能要重新安排你的数据,把某些数据赋值为标量,某些数 据赋值为点坐标,某些数据赋值为其它属性的数据。在这样的情况下 VTK 的区域数据,和 允许你操作区域数据的滤波器,是重要的。 为了引入这个主题,一个具体的例子是有用的。在前面的章节中(看 176 页的“Gaussian Splatting”)我们看到一个需要写定制代码来读一个表格式数据文件,提取出特定的数据形 成点和标量(看在 VTK/Examples/Modelling/Cxx/finance.cxx 中找到的函数 ReadFinancialData ())的一个例子。当这个对于例子工作良好时,它需要大量的工作而且不灵活。在下面的 例子中,我们会使用区域数据做同样的事情。 数据是以下的表格式的形式: 它对于支付贷款方面时间延迟(TIME-LATE);按月支付的贷款(MONTHLY-PAYMENT); 贷款剩下的本金(UNPAID-PRINCIPAL);贷款的原始数量(LOAN-AMOUNT);贷款的利 率(INTE-RATE);和债务人的月收入(MONTHLY-INCOME)是重复的。这 6 个领域组成 一个 3188 行和 6 列的矩阵。 我们通过分[剖]析数据文件开始。类 vtkProgrammableDataObjectSource 对于定义不需要 修改 VTK 就可以定义特定输入方法是有用的。我们所有需要做的是定义一个函数,它分[剖] 析文件而且把它们填充进入一个 VTK 数据对象(记住 vtkDataObject 是最通用的数据表示形 式 )。 读 数 据 是 这 个 例 子 最 有 挑 战 性 的 部 分 , 例 子 可 以 在 VTK/Examples/DataManipulation/Tcl/FinancialField.tcl 中找到。

2021-07-11

文件安全与权限-(华为入门教程)verilog hdl 华为入门教程

第1章 文件安全与权限 为了防止未授权用户访问你的文件,可以在文件和目录上设置权限位。还可以设定文件 在创建时所具有的缺省权限:这些只是整个系统安全问题中的一小部分。在这里我们并不想 对系统安全问题的方方面面进行全面的探讨,只是介绍一下有关文件和目录的安全问题。 本章包含以下内容: • 文件和目录的权限。 • setuid。 • chown和c h g r p。 • umask。 • 符号链接。 创建文件的用户和他 (她)所属于的组拥有该文件。文件的属主可以设定谁具有读、写、执 行该文件的权限。当然,根用户或系统管理员可以改变任何普通用户的设置。一个文件一经 创建,就具有三种访问方式: 1) 读,可以显示该文件的内容。 2) 写,可以编辑或删除它。 3) 执行,如果该文件是一个 s h e l l脚本或程序。 按照所针对的用户,文件的权限可分为三类: 1) 文件属主,创建该文件的用户。 2) 同组用户,拥有该文件的用户组中的任何用户。 3) 其他用户,即不属于拥有该文件的用户组的某一用户。 1.1 文件 当你创建一个文件的时候,系统保存了有关该文件的全部信息,包括: • 文件的位置。 • 文件类型。 • 文件长度。 • 哪位用户拥有该文件,哪些用户可以访问该文件。 • i节点。 • 文件的修改时间。 • 文件的权限位。 让我们使用 ls -l命令,来看一个典型的文件: 第一部分 s h e l l

2021-07-11

什么是寄存器-天线测量手册

第6章 什么是寄存器 本章参考资料:《STM32F10xx 参考手册》、《STM32F10xx 数据手册》、 学习本章时,配合《STM32F10xx 参考手册》“存储器和总线架构”及“通用 I/O(GPIO)”章节一起阅读,效果会更佳,特别是涉及到寄存器说明的部分。 6.1 什么是寄存器 我们经常说寄存器,那么什么是寄存器?这是我们本章需要讲解的内容,在学习的过 程中,大家带着这个疑问好好思考下,到最后看看大家能否用一句话给寄存器下一个定义。 6.2 STM32 长啥样 我们开发板中使用的芯片是 100pin 的 STM32F103VET6,具体见图 6-1。这个就是我们 接下来要学习的 STM32,它将带领我们进入嵌入式的殿堂。 芯片正面是丝印,ARM 应该是表示该芯片使用的是 ARM 的内核,STM32F103VET6 是芯片型号,后面的字应该是跟生产批次相关,最上面的是 ST 的 LOGO。 芯片四周是引脚,左下角的小圆点表示1脚,然后从1脚起按照逆时针的顺序排列(所 有芯片的引脚顺序都是逆时针排列的)。开发板中把芯片的引脚引出来,连接到各种传感 器上,然后在 STM32 上编程(实际就是通过程序控制这些引脚输出高电平或者低电平)来 控制各种传感器工作,通过做实验的方式来学习 STM32 芯片的各个资源。开发板是一种评 估板,板载资源非常丰富,引脚复用比较多,力求在一个板子上验证芯片的全部功能。 图 6-1 STM32F103VET6 实物图(红色框中部分)

2021-07-11

项目沟通管理-深入浅出mips

第十章 项目沟通管理 华夏智诚项目管理专家认证培训 645 规划 管理 规划各领域管理计划 【规划沟通管理】 目的: 根据干系人的信息需要和要求及组织的可用 资产情况,制定合适的项目沟通方式和计划 主要作用: 识别和记录与干系人的最有效率且最有效果 的沟通方式 成果: 《沟通管理计划》 范围 成本 质量 干系人 人力 资源 沟通 风险 采购 时间 华夏智诚项目管理专家认证培训 646

2021-07-11

删除表上的物化视图日志-pmbok第五版 英文版

3.2 查找原因 如果在重命名表的时候出现 ORA-26563:不允许重命名此表错误,试着将表上的物化视 图日志 drop 就可以了。 四、删除表上的物化视图日志 SQL> DROP MATERIALIZED VIEW log ON tmp_T_SHEET; 删除表上的物化视图日志后,对表再进行 insert 操作,一切正常;然后,在运行 exp 脚 本导出数据,也不再报 ORA-01455 错误。 8.1.29 ORA-02369 expdp 备份了数据,结果当进行导入恢复数据时,发现部分重要的数据表无法恢复,出 现错误。这个案例提示我们:不能尽信 expdp等导出文件备份,必须通过多重手段协同,全 面保障数据安全。 导入 DMP 文件时出现的错误大致如下: Import: Release 11.2.0.1.0 - Production on 星期日 12 月 30 15:37:27 2013 Copyright (c) 1982, 2009, Oracle and/or its affiliates. All rights reserved. ;;; 连接到: Oracle Database 11g Enterprise Edition Release 11.2.0.1.0 - Production With the Partitioning and Real Application Testing options 已成功加载/卸载了主表 "CW9999"."SYS_IMPORT_TABLE_02" 启动 "CW9999"."SYS_IMPORT_TABLE_02": cw9999/******** parfile=data10.par 处理对象类型 SCHEMA_EXPORT/TABLE/TABLE 处理对象类型 SCHEMA_EXPORT/TABLE/TABLE_DATA ORA-31693: 表数据对象 "CW9999"."PSXM" 无法加载/卸载并且被跳过, 错误如下: ORA-02354: 导出/导入数据时出错 ORA-02368: the following file is not valid for this load operation ORA-02369: internal number in header in file H:\DMP\bj.dmp is not valid ORA-31693: 表数据对象 "CW9999"."PSMOD" 无法加载/卸载并且被跳过, 错误如下: ORA-02354: 导出/导入数据时出错 ORA-39776: 加载表 "CW9999"."SPMOD" 时出现致命的直接路径 API 错误 ORA-00600: 内部错误代码, 参数: [klaprs_11], [60], [0], [], [], [], [], [], [], [], [], [] 注意这其中最关键的错误是 ORA-02369,提示 DMP 文件中的内部数字标记非法,这 其实说明导出文件损坏了,以下是该错误的官方解释: ORA- internal number in header in file string is not valid

2021-07-11

平衡不完全区组设计的方差分斩-matlab从零到进阶

褒 4.22 平衡不完全区组设计的方差分斩 平方和 自由度 均方 &τ『门宇 55…… 二 二 乓乒 a-I ~号PEi tzu3-4b-1 号p SSE(用脚) N-a- b+ I 万捂R LLY毛-4N-1 马 Fo= 旦埠且堕l

2021-07-11

创建用户并授权-王晓波-从微服务到serverless+架构应用与实践v2

(1)创建表空间并查看表空间创建结果和状态 sys@ora11g> create tablespace secooler_tbs datafile '/u01/app/oracle/oradata/ora11g/secooler_tbs01.dbf' size 10m; Tablespace created. sys@ora11g> select * from v$tablespace where name = 'SECOOLER_TBS'; TS# NAME INC BIG FLA ENC ---------- ------------------------------ --- --- --- --- 8 SECOOLER_TBS YES NO YES sys@ora11g> select ts#,status,bytes,name from v$datafile where ts# = 8; TS# STATUS BYTES NAME ---------- ------- ---------- ------------------------------ 8 ONLINE 10485760 /u01/app/oracle/oradata/ora11g /secooler_tbs01.dbf (2)创建用户并授权 sys@ora11g> create user secooler identified by secooler default tablespace secooler_tbs; User created. sys@ora11g> grant dba to secooler; Grant succeeded.

2021-07-11

启用归档-王晓波-从微服务到serverless+架构应用与实践v2

(1)查看是否为归档模式 sys@ora11g> archive log list; Database log mode No Archive Mode Automatic archival Disabled Archive destination USE_DB_RECOVERY_FILE_DEST Oldest online log sequence 4 Current log sequence 6 结果显示“Database log mode”内容“No Archive Mode”表明数据库未运行在归档模式下。 (2)停止数据库并启动到 mount 状态 sys@ora11g> shutdown immediate; Database closed. Database dismounted. ORACLE instance shut down. sys@ora11g> startup mount; ORACLE instance started. Total System Global Area 535662592 bytes Fixed Size 1337720 bytes Variable Size 402654856 bytes Database Buffers 125829120 bytes Redo Buffers 5840896 bytes Database mounted. (3)启用归档 sys@ora11g> alter database archivelog;

2021-07-11

确定坏块问题的范围-王晓波-从微服务到serverless+架构应用与实践v2

(1) 确定坏块问题的范围 每次发生坏块错误时,都应记下完整的错误消息,并查看该实例的告警日志和跟踪文件, 以了解任何相关的错误。首先进行这些步骤非常重要,这可以评估该损坏是单个块,还是由 于 UNRECOVERABLE 操作产生的错误,抑或是更严重的问题。

2021-07-11

存储器容量寄存器-sql server 2008 评估期已过怎么解决

28.1 存储器容量寄存器 28.1.1 闪存容量寄存器 基地址:0x1FFF F7E0 只读,它的内容在出厂时编写 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 r r r r r r r r r r r r r r r r F_SIZE F_SIZE:闪存存储器容量 位15:0 以K字节为单位指示产品中闪存存储器容量。 例:0x0080 = 128 K字节 28.2 产品唯一身份标识寄存器(96位) 产品唯一的身份标识非常适合: ● 用来作为序列号(例如USB字符序列号或者其他的终端应用) ● 用来作为密码,在编写闪存时,将此唯一标识与软件加解密算法结合使用,提高代码在闪 存存储器内的安全性。 ● 用来激活带安全机制的自举过程 96位的产品唯一身份标识所提供的参考号码对任意一个STM32微控制器,在任何情况下都是唯 一的。用户在何种情况下,都不能修改这个身份标识。 这个96位的产品唯一身份标识,按照用户不同的用法,可以以字节(8位)为单位读取,也可以以 半字(16位)或者全字(32位)读取。 基地址:0x1FFF F7E8 地址偏移:0x00 只读,其值在出厂时编写 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 r r r r r r r r r r r r r r r r U_ID[15:0] U_ID[15:0]:唯一身份标志15:0位 位15:0 732/754 参照2009年12月 RM0008 Reference Manual 英文第10版 本译文仅供参考,如有翻译错误,请以英文原稿为准。请读者随时注意在ST网站下载更新版本

2021-07-11

色彩深度-sql server 数据库基本操作语句总结

(2) 色彩深度 色彩深度指显示器的每个像素点能表示多少种颜色,一般用“位”(bit)来表示。如单 色屏的每个像素点能表示亮或灭两种状态(即实际上能显示 2种颜色),用 1个数据位就

2021-07-11

存储器映射-sql server 数据库基本操作语句总结

6.4 存储器映射 在图 6-4中,被控单元的FLASH,RAM,FSMC和AHB到APB的桥(即片上外设), 这些功能部件共同排列在一个 4GB 的地址空间内。我们在编程的时候,可以通过他们的地 址找到他们,然后来操作他们(通过 C 语言对它们进行数据的读和写)。 6.4.1 存储器映射 存储器本身不具有地址信息,它的地址是由芯片厂商或用户分配,给存储器分配地址 的过程就称为存储器映射,具体见图 6-5。如果给存储器再分配一个地址就叫存储器重映射。

2021-07-11

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除