自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

  • 博客(22)
  • 资源 (1)
  • 收藏
  • 关注

转载 Chipscope 用法

Chipscope 用法1、Chipscope基础    (1)原理:Chipscope可以理解为FPGA中的一个IP核,但是是一种在线调试用的,所以必须以硬件的连接为基础。在FPGA已经下载程序的情况下,添加我们关心的信号或者接口,将选定了端口Chipscope(不妨理解为一个嵌入的系统)加入到程序后重新布局布线下载到FPGA中,此时我们就可以观察信号和接口的值了。注意:从图形上

2014-06-13 04:41:28 2285

转载 关于xilinx fir use reloadable coefficient的用法

最近用到系数可以重新配置的fir滤波器,调用xilinx提供的ip core,使用了use reloadable coefficient功能,但有以下几点疑问,哪位有用过的大虾能否指点迷津下 1、使用use coefficients reloadable之后,仍需导入.coe文件,这个文件的作用是什么,此时滤波器系数不是由coe_din输入了吗? 2、number of coefficient

2014-06-11 07:24:41 689

转载 ISE ModelSim Synplify Matlab兼容版本

2014-05-28 01:27:00 403

转载 在ISE查看各个模块消耗的资源

http://bbs.21ic.com/forum.php?mod=viewthread&tid=358182&extra=page%3D1%26filter%3Ddigest%26digest%3D1%26digest%3D1把图上的-detail选定即可。

2014-05-28 01:13:51 8299

转载 使用ISE将未使用的管脚变成高阻态

如何处理没有使用的IO管管脚,肯定很多设计过程师都在迷茫中。这个问题该如何呢?是悬空还是应该做其他处理,如果悬空,当设计管脚不够用必然会造成整个板卡的改版。如果去避免这个问题,这也是Xilinx ISE强大的地方。我们可以在生成BIT的时候,完成这个工作。具体设置,见图:选择上下拉或者高阻态。

2014-05-28 01:03:50 2912

原创 C语言模块化编程介绍

C语言源文件 *.c       提到 C语言源文件,作为模块化编程的组成基础,我们所要实现的所有功能的源代码均在这个文件里。理想的模块化应该可以看成是一个黑盒子。即我们只关心模块提供的功能,而不管模块内部的实现细节。好比我们买了一部手机,我们只需要会用手机提供的功能即可,不需要知晓它是如何把短信发出去的,如何响应我们按键的输入,这些过程对我们用户而言,就是是一个黑盒子。在大规模程序开

2013-03-11 20:47:59 249

转载 F2812 Flash烧写总结

烧写http://www.hellodsp.com/bbs/viewthread.php?tid=30249&highlight=%C9%D5%D0%B4 [原创] F2812烧写个人总结这几天一直在弄F2812烧写,在此论坛看前人的帖子,也得到很多帮助,今天烧写成功。我的很多DSP知识来自hellodsp,所以今天自己也总结一下,给需要的朋友一点帮助,算是回馈论坛。在仿真器中

2012-12-31 22:40:37 3856 2

转载 ModelSim中Altera仿真库的添加

最近,做一个IP核的调试,但是里面调用了Altera的syncram,这样ModelSim就不能直接进行仿真,而QuartusII又不支持Tesbbench的仿真,所以为了在ModelSim中仿真就必须将Altera的这些仿真库添加到ModelSim中。通常,在ModelSim中进行仿真需要加入Quartus提供的仿真库,原因是下面三个方面:·Quartus不支持Testbench;·

2012-11-22 00:10:45 1504

转载 乒乓操作及串并转换设计篇

FPGA/CPLD重要设计思想及工程应用 概述“乒乓操作”是一个常常应用于数据流控制的处理技巧,典型的乒乓操作方法如下图所示。 乒乓操作的处理流程输入数据流通过“输入数据选择单元”将数据流等时分配到两个数据缓冲区,数据缓冲模块可以为任何存储模块,比较常用的存储单元为双口RAM(DPRAM)、单口RAM(SPRAM)、FIFO等。在第一个缓冲周期,

2012-08-27 10:09:47 565

转载 【NIOS学习笔记】NIOS II 中的按键中断实验

#include #include #include #include #include #ifndef SOPC_H_#define SOPC_H_#define _LED#define _KEYtypedef struct{ unsigned long int DATA; unsigned long int DIRECTION

2012-08-25 18:16:36 1875

转载 详细介绍用PS给照片加对话气泡

一.用PHOTOSHOP打开需要添加气泡的照片  二.点击图层面板上的创建新的图层按钮注意:如果你的PS打开后图层面板没有显示出来,你可以点击PS上面菜单栏中的窗口-图层,这样图层面板就会出现了!  三.选择自定形状工具,并根据图中所示的三步调出会话气泡   四.选择一个你满意的气泡形状,在照片上按住鼠标左键不放并拖动,这时会话气泡会出现

2012-05-01 01:08:04 3779

原创 【FPGA学习笔记】第十七章:实验6——1602字符液晶

1.     实验目的: 通过本次实验,掌握使用 HDL语言操作1602字符液晶的方法。2.     实验原理:(1) 1602字符液晶简介上一课我们学会了LED数码管的原理及使用,下面,我们再来学习字符型液晶模块的使用。液晶屏显示模块与数码管相比,它显得更为与业、漂亮。液晶显示屏以其微功耗、体积小、显示内容丰富、超薄轻巧、使用方便等诸多优点,在通讯、仪

2011-12-08 14:21:48 3869 1

转载 90_modelsim_ae_windows的安装与使用

原帖(转)http://www.cnblogs.com/crazybingo/archive/2011/02/21/1959893.htmlhttp://hi.baidu.com/paulllc/blog/item/5d7879e809a32f29b90e2d46.html根据网友牙缝的说法:ase是altera start edition,是入门版,freeae是

2011-12-06 16:28:05 2511 2

原创 求助

/*************************************************//Module: lcd1602//File Name: lcd1602.v//Version: 2.0//Date: 2011.12.5 //Author: wang li//Code Type: RTL//Descri

2011-12-05 16:24:44 331

转载 FPGA1602液晶显示的各个程序

前几天参加学校的FPGA比赛,做的是频率检测器,就2天时间,做的还可以,从1HZ到1MHZ,结果用1602液晶显示,还没有整理好,等整理好了也拿出来,先把1602液晶显示部分整理了下,拿出来和大家分享,我用的板子是EP1C3T144C8N,时钟是24MHZ跑起来没有问题。代码我还是贴上来,直接上了……..顶层模块 lcd.v`timescale 1ns / 1psmodule lc

2011-12-05 14:18:48 1835 5

原创 【FPGA学习笔记】第十六章:实验5——SPI实战:NOKIA5110液晶

Nokia5110液晶,显示中文。字模需要自己制作,单位字体像素:16×16/*************************************************//Module: nokia5110lcd_chinese//File Name: nokia5110lcd_chinese.v//Version: 2.0//Dat

2011-11-30 17:05:09 1386

原创 【FPGA学习笔记】第六章:Quartus II的常见错误分析

第六章        Quartus II的常见错误分析Error:Top-level design entity "XXX"is undefined Err顶层实体没有定义!最好把你的工程名和实体名(module后面的名字)设为同一个即可,刚入门的时候,都有这样的经历。菜单Assignments -> Settings...打开后点击第一个General选项里,在Top-

2011-11-27 16:09:31 11040

原创 【FPGA学习笔记】第五章:Qartus II的使用指南

第五章          Qartus II的使用指南

2011-11-25 18:18:03 774

原创 【FPGA学习笔记】第四章: FPGA开发流程

第四章        FPGA开发流程FPGA的设计流程就是利用EDA开发软件和编程工具对FPGA芯片进行开发的过程。

2011-11-25 18:15:36 639

原创 【FPGA学习笔记】第三章:可编程逻辑器件基础

第三章          可编程逻辑器件基础1.   PROM(programmableread only memory,可编程只读存储器)1.       PLA(programmablelogic arry)2.       PAL3.       GAL ,通用阵列逻辑 4.       CPLD,LAB逻辑阵列快5.       FPGA

2011-11-25 18:10:43 420

原创 【FPGA学习笔记】第二章: 数字逻辑基础

第二章          数字逻辑基础1.数制转换内容略,参考数字电路基础其中:格雷码1.       逻辑代数基础 2.       组合逻辑电路和时序逻辑电路D锁存器输入端D,输出端Q,选通端C;选通端C为1的情况下,输出端Q跟随输入端;选通端为0的情况下,输出端Q锁存输入端D的状态。

2011-11-25 18:09:37 391

原创 【FPGA学习笔记】 第一章: PLD/FPGA新手入门

第一章          PLD/FPGA新手入门1.       可编程逻辑器件的发展历程当今社会是数字化的社会,是数字集成电路广泛应用的社会。数字集成电路本身在不断地进行更新换代。它由早期的电子管、晶体管、小中规模集成电路、发展到超大规模集成电路(VLSIC,几万门以上)以及许多具有特定功能的专用集成电路。但是,随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承

2011-11-25 17:05:48 1628 1

Modelsim使用简明

Modelsim使用简明

2012-09-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除