自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(87)
  • 资源 (4)
  • 收藏
  • 关注

原创 G.711 A律 U律

将16bits的pcm信号编码成8bits样本进行传输有基于G.711标准的两种算法(A-law, u-law)A律压缩相对来说较简单。将一个16bit数压缩为8bit,保留最高位为符号位,87bit为段内码。u律压缩取每个prb的i,q数据最大值,然后根据最大值判断移位,再根据压缩bit数及i,q值的大小做相应的移位处理。

2023-09-15 18:47:45 1317

转载 UVM知识点7-Clocking block在验证中的正确使用

如果monitor的信号在clocking block是output方向的话(对应的即RTL的input方向),则使用t.xxx = vif.xxx的语句先monitor到interface上的信号值,然后等时钟沿@vif.cb1来进行同步,那么可以理解为在时钟上升沿到来之前,output方向的信号已经稳定(意味着RTL的输入端激励在时钟上升沿到来之前已经稳定),所以在时钟沿之前进行阻塞完成赋值。如下图所示,理想情况下,我们会在图中蓝线处进行驱动或者采样,从而尽可能避免竞争冒险。

2023-09-13 09:50:26 607

原创 Linux下去掉^M的四种方法

Linux下去掉^M的四种方法。

2023-09-07 16:30:50 1898

原创 UVM知识点5

case(1‘b1)的写法与if-else的写法得到的结果是一样的,即均完成了。

2023-09-07 16:26:34 138

原创 IC验证覆盖率

然后,运行每一支test case的仿真后都会生成一个simv.vdb的覆盖率文件夹,所以run regression的时候,要把这些覆盖率文件夹统一放在一个路径下,等所有的test case 仿真结束后将他们merge在一起 生成一个覆盖率文件夹。coverage一般在所有的test case开发完毕或即将开发完毕的时候才会统计,如果使用的仿真器是VCS,那么需要在仿真命令行加上-cm line+tgl+cond+fsm+branch 选项,

2023-09-07 16:21:49 176

原创 UVM知识点3

总之,这段代码的作用是在 UVM 中输出一个信息级别的日志,标签为 “TEST123”,消息为 “get configure random_total” 以及 cfg.total_random 的值,日志的详细程度设置为低级别。UVM 提供了不同的 verbosity 级别,如 UVM_NONE、UVM_LOW、UVM_MEDIUM、UVM_HIGH 等。uvm_info 是 UVM(Universal Verification Methodology)中的一个宏,用于在测试过程中输出信息级别的日志。

2023-08-29 14:13:41 156

原创 UVM知识点4

这个工具通常是 EDA (Electronic Design Automation) 工具套件的一部分,比如 Synopsys的VCS,Cadence 的Incisive 或 Mentor Graphics的 Questa等。更为重要的是,不仅仅是不再推荐,而且如果以default sequence的方式启动以后,default sequence被启动以后,starting_phase依然会是null,如果沿用以前的代码,整个平台就起不来了。生成的代码只标记字段,默认情况下这些字段的约束定义为rand。

2023-08-28 16:14:55 376

原创 UVM知识点2

在早期随机化中,首先使用randomize()对sequence进行随机化,然后使用start_item()来请求对sequencer的访问,这是一个阻塞调用,根据sequencer的繁忙程度可能会花费一些时间。通过这样的做法,您可以确保生成的事务对象满足特定的约束和条件,从而帮助验证系统的正确性。对象的属性值是否满足预期条件。来访问与序列关联的序列器的属性或方法,或者对序列器进行类型转换以访问更具体的方法或属性。都是用于访问序列器的指针,但它们在不同的UVM对象中使用,并为不同的目的提供了访问权限。

2023-08-21 14:54:04 274

原创 UVM学习知识点

1.作用`include与C语言中类似,用于在一个文件中插入另一个文件;import用于在一个作用域中引入一个package(或其中的内容),使得这些内容在当前作用域中可以不添加其所在的package就能被识别。2.用途`include把一个文件平铺在插入的文件中,这个过程发生在编译前。与verilog中类似,可以把宏定义的参数放在一个文件中,再使用`include插入所需的文件中,使代码更加整洁。也可以将一个类写在一个头文件中,使用extern方法,在另一个文件中定义类中的方法,方便管理和加密,最后再

2023-08-16 22:47:07 876

原创 已加载无法查找或打开 pdb 文件/将一个无效参数传递给了将无效/引发中断

err 返回值为2,根据错误代码可知是没有找到文件或者路径,再去检查一下,发现硬盘可能中间接触不良,从F盘变成了G盘,导致原本正常的程序报错。【C++】VS中读写操作(fclose.cpp)引发中断——将一个无效参数传递给了将无效参数视为严重错误的函数。VS中fseek.cpp引发断点——将一个无效参数传递给了将无效参数视为严重错误的函数。C++ 读取文件时报错“将一个无效参数传递给了将无效参数视为严重错误的函数”解决方法。C++将一个无效参数传递给了将无效参数视为严重错误的函数。发现打开的 f 为空。

2023-08-03 15:06:19 529

原创 20230729 git github gitee

GitHub 于 2008 年 4 月 10 日正式上线,除了 Git 代码仓库托管及基本的 Web 管理界面以外,还提供了订阅、讨论组、文本渲染、在线文件编辑器、协作图谱(报表)、代码片段分享(Gist)等功能。Gitee专为开发者提供稳定、高效、安全的云端软件开发协作平台,无论是个人、团队、或是企业,都能够实现代码托管、项目管理、协作开发。*适用于Windows系统,且一般为Windows默认设置,会在提交时对换行符进行CRLF - LF的转换,检出时又会进行LF - CRLF的转换。

2023-07-29 18:18:01 1018

原创 20230724

Linux rm(英文全拼:remove)命令用于删除一个文件或者目录。rm [options] name…参数:-i 删除前逐一询问确认。-f 即使原档案属性设为唯读,亦直接删除,无需逐一确认。-r 将目录及以下之档案亦逐一删除。if [ $# -ne 1 ];then$# 是启动脚本时携带的参数个数-ne 是不等于这个语句的意思是“如果shell的启动参数不等于1个”$# 表示提供到shell脚本或者函数的参数总数;$1 表示第一个参数。-ne 表示 不等于另外:整数比较-

2023-07-27 17:31:49 110

原创 知识随笔1

墨汁的tb虽然不同,但是都是手机在rtl的dsc_top中。

2023-05-12 16:05:20 70 1

原创 C关键字解读——volatile, extern, struct, union, enum

这时候,编译器认为 i 的值没有发生改变,所以在(1)语句时从内存中取出i 的值赋给 j 之后,这个值并没有丢掉,而是在(2)语句时继续用这个值给 k 赋值。volatile 关键字告诉编译器 i 是随时可能发生变化的,每次使用它的时候必须从内存中取出 i 的值,因而编译器生成的汇编代码会重新从 i 的地址处读取数据放在 k 中。enum_type_name 类型是对一个变量取值范围的限定,而花括号内是它的取值范围。其中各常量名代表的数值分别为:1,2,3,10,11。

2023-05-06 13:08:35 1157

转载 SVA断言使用方法

SVA——断言属性之序列(sequence与property的用法)SVA——与设计的连接(bind关键字用法)

2023-05-06 10:13:37 68

原创 Shell+VCS学习3---VCS-lint

首先是不加+define+的情况,A的打印结果是100(用的是`ifndef,命令行没有定义A的话就进入这一条语句);在编译命令中还可以+define+A=666,实现参数的传递,num打印123,A打印666,如果此时不加=666或者不加+define+,display就会报错,miss or empty argument,因为没有数据。在编译命令行中加入+define+A时,num打印值为123,+define+B时为456,定义其他或者不加+define+时打印789,XXX可以为自己的一些代码。

2023-05-05 14:31:28 1000

原创 vcs -libmap

(4) 如果两个top都不指定: vcs会将每个module都视为top, 而lib_cfg也不生效(其实也相当于没指定-top lib_cfg), 结果与第(2)点相同: 无法精细指定.可以看到,使用三步法的时候,编译需要用synopsys_sim.setup来声明库文件,否则在elaboration的时候看不到LIB_G0和LIB_G1。这样vcs编译器会使用libmap文件中的指向来存放编译输出结果,生成额外的lib_g0库和lib_g1库。也就是各自采用各自的库,这就需要libmap来实现。

2023-05-05 11:07:16 2204

原创 Shell+VCS学习3---VCS命令

VCS的功能可以大致分为两个大类:编译和仿真。VCS编译的过程,就是经过一系列的操作,将verilog代码转换为可执行文件(.svim),接下来就是用dve进行仿真过程生成.vpd波形文件。VCS是编译型verilog仿真器,处理verilog的源码过程如下:VCS先将verilog/systemverilog文件转化为C文件,在linux下编译链接生成可执行文件,在linux下运行simv即可得到仿真结果。

2023-05-04 18:10:39 7890

原创 Shell+VCS学习2

使用输入重定向的方式则每次只占用一行数据的内存,而且是在当前shell环境下执行的,while内的变量赋值、数组赋值在退出while后仍然有效。输出read通过输入重定向,把file的第一行所有的内容赋值给变量line,循环体内的命令一般包含对变量line的处理;然后循环处理file的第二行、第三行。。。一直到file的最后一行。还记得while根据其后的命令退出状态来判断是否执行循环体吗?是的,read命令也有退出状态,当它从文件file中读到内容时,退出状态为0,循环继续进行;

2023-05-04 10:40:29 1245

原创 Shell+VCS学习1

y 指定一个库文件目录,目录下的文件名和module名要一致,且要用+libext+来指定文件类型,这里的.v文件里面就只能放一个和文件名同名的module,VCS会来这个目录下找源代码中例化的但在源代码中找不到的module。字符串是shell编程中最常用最有用的数据类型(除了数字和字符串,也没啥其它类型好用了),字符串可以用单引号,也可以用双引号,也可以不用引号。综合起来还有可读可执行(rx=5=4+1)、可读可写(rw=6=4+2)、可读可写可执行(rwx=7=4+2+1)。

2023-04-28 17:53:55 887

原创 ISA是什么

指令集架构(英语:Instruction Set Architecture,缩写为ISA),又称指令集或指令集体系,是计算机体系结构中与程序设计有关的部分,包含了基本数据类型,指令集,寄存器,寻址模式,存储体系,中断,异常处理以及外部I/O。来自维基百科微处理器的指令集架构(Instruction Set Architecture)常见种类如下: 复杂指令集运算(Complex Instruction Set Computing,CISC);超长指令字指令集运算(VLIW)来自百度百科。

2023-04-28 16:25:49 7729

原创 有符号数和无符号数左移和右移

左移与符号无关,都是逻辑左移(对应SHL指令),而右移无符号数做逻辑右移(对应SHR指令)、有符号数做算术右移(对应SAR指令)。所以如果一个int类型的-1, 进行右移操作,无论进行多少次,结果仍然为-1,因为-1 = 1111 1111 1111 1111 1111 1111 1111 1111b.左移低位补零,高位舍弃(和无符号数的实现相同),这意味着本来一个正数,在移位的过程中,可能一会儿变成负数,一会儿又变回正数,然后再变负数···这种跳跃的情况。算术移位对应有符号位数,逻辑移位对应无符号位数。

2023-04-28 14:03:52 1134

原创 the license for this version of systemview has expired 软件 Systemview5

the license for this version of systemview has expired......打开注册表HKEY_CURRENT_USER\Software\VB and VBA Program Settings\ App Devices\Root Map Enabled Devices_3把日期修改成今天,也就是屏幕右下角的日期(格式如下)

2023-02-26 16:01:34 448

原创 Verilog中 reg,integer

integer类型也是一种寄存器数据类型,integer类型的变量为有符号数,而reg类型的变量则为无符号数,除非特别声明为有符号数还有就是integer的位宽为宿主机的字的位数,但最小为32位,用integer的变量都可以用reg定义,只是用于计数更方便而已。reg,integer,real,time都是寄存器数据类型,定义在Verilog中用来保存数值的变量,和实际的硬件电路中的寄存器有区别。real类型是不可综合的。

2023-02-24 11:39:29 2896

原创 宏基Aspire V5-471G笔记本硬件升级记录(硬盘内存)

打开这么多软件,内存占用90%左右。可以在现在4g基础上,再购买一个4g内存条添加。使用图吧工具箱软件 CPU-Z。LG显示器是外接的显示器。

2023-02-06 17:18:12 3723

原创 硬件学习路线调研

学习路线调研

2022-12-01 13:20:29 1175

原创 XShell安装

Xshell [1] 是一个强大的安全终端模拟软件,它支持SSH1, SSH2, 以及Microsoft Windows 平台的TELNET 协议。Xshell 通过互联网到远程主机的安全连接以及它创新性的设计和特色帮助用户在复杂的网络环境中享受他们的工作。Xshell可以在Windows界面下用来访问远端不同系统下的服务器,从而比较好的达到远程控制终端的目的。除此之外,其还有丰富的外观配色方案以及样式选择。

2022-09-22 12:03:44 2629

原创 Typora: 行内LaTeX公式无效

Typora: 行内LaTeX公式无效

2022-08-15 11:16:03 891

原创 typora+github+picgo搭建图床401

这个原因是分支名要写成main,不能写成master,从某一年开始github把“master”去掉了,(原来的仍然是master)之前使用的图床好好的突然上传图片失败了,就搜索原因StatusCodeError: 401。刚开始搜索StatusCodeError: 401 ,大部分出现的是404的。另一种说是PicGo-server设置错误,我看了下也没有错。...

2022-08-09 21:51:59 792

原创 Vivado安装教程详细版

Vivado2017.4 安装包、安装步骤

2022-08-08 19:19:36 10411

原创 动手学习深度学习笔记

阅读指南第一部分(第1章至第3章)涵盖预备工作和基础知识。第1章介绍深度学习的背景。第2章提供动手学深度学习所需要的预备知识。第3章包括深度学习最基础的概念和技术,如多层感知机和模型正则化。如果读者时间有限,并且只想了解深度学习最基础的概念和技术,那么只需阅读第一部分。第二部分(第4章至第6章)关注现代深度学习技术。第4章描述深度学习计算的各个重要组成部分,并为实现后续更复杂的模型打下基础。第5章解释近年来令深度学习在计算机视觉领域大获成功的卷积神经网络。第6章阐述近年来常用于处理序列数据的循环神经网

2022-04-06 15:59:27 772

原创 win系统安装

一、镜像下载地址原装镜像,MSDN网址:https://msdn.itellyou.cn/?lang=zh-cn文件名cn_windows_7_ultimate_with_sp1_x64_dvd_u_677408.isoSHA12CE0B2DB34D76ED3F697CE148CB7594432405E23文件大小3.19GB发布时间2011-05-12ed2k://|file|cn_windows_7_ultimate_with_sp1_x64_dvd_u_677408.iso|34

2022-04-06 15:54:18 97

转载 什么是DDR RAM DDR2 DDR3 DDR4 之间有什么区别

很多人在配组装机的时候都会看到DDR4这个硬件,那么到底什么是DDR RAM呢?DDR2和DDR3 RAM与较新的DDR4 RAM的区别在哪呢?什么是DDR RAM DDR2 DDR3 DDR4 之间有什么区别什么是DDR RAM?如果您不熟悉RAM,您可能不知道“DDR”是什么意思。这个缩写表示双数据速率。简单来说,以两倍的数据速率运行意味着RAM可以在每个时钟周期中传输两次数据。您可能知道,计算机上的所有数据都是数字的,这意味着它由1 (on)或0 (off)表示。一个时钟周期由CPU信

2022-03-03 16:08:51 6223

原创 itop4412现有问题以及解决情况

问题一:为什么这个直接插U盘就能用。问题2触摸屏显示问题一:为什么这个直接插U盘就能用。Disk /dev/mmcblk0: 15.6 GB, 15634268160 bytes255 heads, 63 sectors/track, 1900 cylindersUnits = cylinders of 16065 * 512 = 8225280 bytes Device Boot Start End Blocks Id System/de

2021-12-17 23:35:41 454

原创 FPGA学习笔记

FPGA2.2 电源电路Qsys 是Altera公司提供的一个在FPGA上构建SOPC系统的软件工具,集成在Quartus II中,用以软核平台的开发,软核包括Nios II及相应外设。简单来说,就是通过Qsys可以做一个包括CPU,总线,内存和外设的硬件系统,在上面可以跑程序。https://blog.csdn.net/persistlzy/article/details/116454744...

2021-12-13 22:29:56 347

原创 Vim 编辑器保存退出

Vim 编辑器, 首先要掌握它的三种模式。 这三种模式分别是: 指令模式, 输入模式, 底行模式。Vim 编辑器有大量的命令, 不同的命令只能在相对应的模式下使用。如果仅仅输入“q” 是无法退出的, 在修改了文件之后, 如果不保存退出, 必须输入“q! ” , 如果要保存退出则输入“wq” 。Qt/E4.7.1 使用的编译器是交叉编译器编译工具“arm-linux-gcc-4.3.2.tar.gz”, 编译器在用户光盘文件夹“08_源码_QtE 以及 qtopia2.2.0 文件系统”中。Qt/

2021-12-03 20:34:50 766

原创 无线光通信课程-写论文要点分析

硕士论文,一般50页会议论文:5页(掐头去尾)现在做的项目,仿真,要细,做好记录标题15-20字(结论,自己的不一样的方法)摘要:150字引言:先是大帽子,好处。我做的什么用处。大方向很火。正面意义。结论:做的东西在什么情况下最优。参考文献:模块分领域选最好的几个;尽量不要把别人的结论说的特别不好。参考文献:多看文献,要多比对,文献面扩大文献要适当及时整理,多去思考,加深自己的理解,可以理解领域得技术发展,研究生看文章文献还是有好处。比如工作,面对一个新的问题,项目。思路要清晰,怎么能

2021-12-03 17:18:18 85

原创 VScode 在markdown中粘贴图片

使用vscode写md文件记录工作日志;VScode插件库搜索past image安装。有使用方法描述,截图后,使用Ctrl+Alt+V就可以把图片粘贴到Markdown文档里了

2021-11-27 16:41:53 5177

原创 Ubuntu vi 编辑 删除

vi + 文件名:进入 vi 模式编辑模式:shift+:退出编辑模式:Esc退出编辑模式后可进行光标的上下左右移动(偶尔会出现ABCD,还不知道怎么解决,目前只能出来一个删除一个)光标处:按 i 键进入编辑模式,Enter:换行,删除光标位置的字符:Delete非编辑模式下:按 x 可以删除光标位置的字符,换行:Esc+O...

2021-11-24 22:42:05 4275

原创 2021-11-12嵌入式ITOP4412学习

嵌入式 QT5,7烧写出现问题中间两个不确定问题1.样表中uboot\pop中没有区分1g,2g;2.在Qt5.7的20180511文件夹中,没有ramdisk-uboot.img,所以只能用qt4.7的相当于:Qt 4.7镜像就需要“ zImage\scp” 中的 zImage, “ zImage\scp” 中的u-boot-iTOP-4412.bin, “system” 目录中的 ramdisk-uboot.img 和 system.img。然后烧写QT5.7只把这个system.img换了下

2021-11-13 18:23:36 1173

Xshell-7.0.0077p.exe

XShell-7.0.0077个人,学校免费版

2021-07-29

Xshell-7.0.0077.exe

XShell-7.0.0077评估版软件,30天评估

2021-07-29

Sublime Text Build 3211 x64 Setup.rar

Sublime

2021-04-25

python-3.8.0-amd64.rar

python-3.8.0-amd64.rar

2021-04-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除