自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(49)
  • 收藏
  • 关注

原创 postgresql9.5主从hot_standby模式配置

参考http://blog.csdn.net/wlwlwlwl015/article/details/53287855前言简单记录一下postgresql主从的实现方式之一——基于Standby的异步流复制,这是PostgreSQL9.x版本(2010.9)之后提供的一个很nice的功能,类似的功能在Oracle中是11g之后才提供的active dataguard和SQL Ser

2017-08-25 11:01:02 3144

原创 linux系统启动顺序

关于Linux系统启动过程 Linux启动过程 这篇文章讲的很细致。第一步--加载BIOS第二步--读取MBR第三步--Boot Loader / Grub第四步--加载内核第五步--用户层init依据inittab文件来设定运行等级        注:/sbin/init启动之后就是Linux大名鼎鼎id为1的init进程第六步--init进程执行rc.sysin

2016-10-26 14:26:10 496

原创 JDK + eclipse mars + CDT + PyDev

系统环境:ubuntu14.04由于平时要搞C/C++,python和javascript/html的开发,在公司windows机器上装了VS2013(C/C++,python),webstorm(javascript/html)。现在换到ubuntu,就要搞一套开发环境搞定所有,eclipse当然就成了我的不二选择了。1. 由于eclipse本身依赖JDK,先装JDK。JDK下载

2016-10-16 09:30:08 765

转载 Python单例模式的4种实现方法

http://ghostfromheaven.iteye.com/blog/1562618python2.7#-*- encoding=utf-8 -*-print '----------------------方法1--------------------------'#方法1,实现__new__方法#并在将一个类的实例绑定到类变量_instance上,#如果cls._insta

2016-09-02 16:32:50 614

转载 centos6.5 vnc服务器安装

一、安装 VNC  默认情况下,CentOS 6.4 是没有安装的。  检查是否安装,输入:          [root@localhost ~]# rpm -q vnc vnc-server  得到:          package vnc is not installed  提示没有安装,那么就开始安装,输入:          [root@localhost

2016-08-10 14:38:32 626

转载 linux找不到动态链接库 .so文件的解决方法

http://www.cnblogs.com/xudong-bupt/p/3698294.html如果使用自己手动生成的动态链接库.so文件,但是这个.so文件,没有加入库文件搜索路劲中,程序运行时可能会出现找不到动态链接库的情形。可以通过ldd命名来查看可执行文件依赖的动态链接库,如下(其中D为可执行程序): 其中的libjson_linux-gcc-4.6_libmt.so

2016-08-05 13:47:16 2026

翻译 Medical image registration(section7)

7. 体素相似度量intramodality配准在第6章我们介绍了通过优化一个体素相似度量来对相同模型影像进行配准。

2016-07-16 15:56:09 777

翻译 Medical image registration(section6)

6. 体素相似度量(Similarity measure)intramodality配准        体素相似度量配准涉及通过优化一些估量来计算配准变换T,不同于点和表面这些衍生自影像中的几何结构,它直接从体素值(或是像素值)计算得来。正如第2节所属,使用体素相似性度量,我们几乎总是在迭代确定T,而在点的配准或是表面匹配中我们先确定相应的特征,然后直接或是从这些特征中迭代确定T,最后推断出T

2016-07-12 11:05:28 1039

翻译 Medical image registration(section5)

5. 使用几何特征的刚体配准算法5.2. 表面匹配  边界或物体表面,在医疗影像中往往是更明显的地标,大量的分割算法都可以成功找到这样的高对比度表面。在大多数成像方式中,人体和空气之间的边界是有着高对比度的,从中找到皮肤表面易如反掌。这完全不同于核医学发射断层扫描和一些回波平面磁共振影像的示踪剂。如果可以从两个影像中自动分割出能够组合的等效表面,那么我们就可以通过拟合这些表面来实现刚体配准

2016-07-12 10:54:15 923

转载 socket

转载自IPC——socket方式在socket(int domain,int type,int protocol)这个函数里,其中第一个参数指的是通信协议的协议族,其中AF_UNIX用于本地数据通信,它不用拆包组包,直接是将应用层的数据COPY至另一个进程。僵尸进程:        子进程退出后,父进程没有wait或者waitpid,子进程还没有从进程表中删除,还占

2016-04-15 11:49:07 365

原创 IPC

IPC几种主要的方式:1. 管道(Pipe)及有名管道(named pipe):管道可用于具有亲缘关系进程间的通信,有名管道克服了管道没有名字的限制,因此,除具有管道所具有的功能外,它还允许无亲缘关系进程间的通信;2. 信号(Signal):信号是比较复杂的通信方式,用于通知接受进程有某种事件发生,除了用于进程间通信外,进程还可以发送信号给进程本身;linux除了支持Unix早期信

2016-04-15 11:45:42 379

原创 linux动态库多层级调用

这是一个小知识点,今天拿出来说说。我们又一个应用test,它调用了一个动态库so1.so,而这个so1.so又调用了so2.so。so1.so的编译命令行gcc -O so2.so -lso1 -lrt -lstdc++test的编译命令行gcc -O test -lso1 -lrt -lstdc++会报错,说是在so1.so中找不到一些接口定义,而这些接口定义是在so2.so中实现的。

2016-01-20 13:58:23 1147

转载 linux system函数返回值问题

http://cooliron.blog.163.com/blog/static/124703138201310602355497/system函数对返回值的处理,涉及3个阶段:阶段1:创建子进程等准备工作。如果失败,返回-1。阶段2:调用/bin/sh拉起shell脚本(备注),如果拉起失败或者shell未正常执行结束,原因值被写入到status的0~7比特位中。

2016-01-06 17:13:28 833

原创 Linux普通用户操作root资源

了解了Linux下文件的SUID和SGID后我们就可以解释为什么普通用户也能调用/usr/bin/passwd命令来修改/etc/passwd了。[root@RD224 uid_gid]# ll /usr/bin/passwd /etc/passwd-rw-r--r-- 1 root root 1738 Dec 10 08:40 /etc/passwd-r-s--x--x 1 roo

2015-12-15 17:36:02 3668

原创 Linux文件权限标志uid gid

首先我们来熟悉一下linux系统中文件属性。Linux 文件或目录的属性主要包括:文件或目录的节点、种类、权限模式、链接数量、所归属的用户和用户组、最近访问或修改的时间等内容;[root@localhost ~]# ls -lih总计 104K2408949 -rwxr-xr-- 1 root root 7 04-21 12:47 lsfile.sh2408258 lrwx

2015-12-15 17:05:29 9197

原创 DOMDocument redefined问题

项目中用到Xercesc开发包来解析xml文件,其他程序里头都好着,今天在一个新建的工程中用到却出现编译失败的问题。main.cpp代码如下:#include ...#include "MetaXMLParser.h"class juggTest: public MetaXMLParser{public: juggTest(const char *filePath) : Me

2015-12-09 17:04:57 1271

原创 Lua游戏开发(三)---Lua语言学习(下)

6.tablefunction mian() a = {3,5,7,nil,x=2,y=3,"string"="hello",nil} b = {3,5,7,nil,x=2,y=3,"string"="hello"} --table求长度  len = #a --len=3 len = #b --len=7 len = table.ma

2015-12-03 16:46:29 599

原创 Lua游戏开发(三)---Lua语言学习(上)

1.全局变量和局部变量require("app.senes.other") --调用app\senes目录下other.lua文件,可以使用other.lua文件里的资源a = 3 --全局变量,可供其他lua文件调用local b = "hello world" --a.lua文件的全局变量,不可供其它lua文件调用,类似于c里的static变量function main()

2015-12-01 13:03:22 559

原创 Lua游戏开发(二)---cocos2d VS libgdx VS unity3d

移动设备端三个主要的游戏开发引擎cocos2d-x,libgdx,Unity3dcocos2d-xOpensource.Less community support but good support on other sites (3k search results on stackoverflow).Many Famous games like 2048,BADLAND are

2015-11-30 16:50:34 3014

原创 Lua游戏开发(一)---Lua语言

介绍Lua的设计和实现目标:提供一种嵌入式的脚本编程语言,简洁、高效、可移植并且是轻量级的。传统上大部分虚拟机都是基于堆栈的,自Pascal的P-虚拟机开始一直到今天的Java虚拟机以及Microsoft.Net。Lua5.0的虚拟机是基于寄存器的虚拟机,Perl6(Parrot)也是。简洁:寻求最简化的语言和最小化的源码(以C语言实现)。这也意味着Lua只有一些类似传统编程语言的

2015-11-12 17:13:19 8047 1

原创 c++父类子类同名变量

当父类与子类有同名且变量时:class Base { public: int i; Base() { printf("Base()\n"); i = 99; amethod(); } void amethod() { printf("Base.amethod()\n"); } }; class D

2015-11-04 12:27:13 3051 2

原创 C++类的构造函数及操作符()重载

昨天看到一个提问帖子问到一个有关构造函数的问题,问题意思如下:定义一个class Cat,然后创建实例,发现Cat frisky和Cat frisky()两种方式表现不一。我用vs调试了一下#include class Cat{public: Cat(){std::cout << "default-constructor" << std::endl;} Cat

2015-11-03 10:44:11 648 3

转载 mingw&vc静态库lib

网上大多说的是 dll 怎么生成 .lib 什么的,这里是将mingw生成的.a文件拉出符号表文件.lib。先用 ar 将 .a 中的所有 .o 文件解出来: ar x libatlas.a   使用 MinGW 的 --export-all-symbols 选项,链接成 dll ,并导出所有符号:gcc -shared -o atlas.dll *.o -

2015-10-29 16:54:15 5752

转载 Mingw & VC -- DLL

Mingw 生成dll gcc test.c -shared -o libtest.dll -Wl,--output-def,libtest.def,--out-implib,libtest.a 同时生成了 a.def liba.a VC (VC6.0)生成dll File->New->Win32 Dynamic-Link Library … 添

2015-10-29 14:45:10 1050

转载 ubuntu支持qt程序

摘抄自http://www.zhihu.com/question/20153991 中的一个回帖。这是Mark Shuttleworth下的一盘大棋....两年前,Mark Shuttleworth 宣布Ubuntu要支持Qt程序,给出的理由是软件的易用性和方便集成的能力,是提供最终用户体验的关键价值所在。Ubuntu不是因为Gtk多么"纯粹", 多么牛B,多么性感才被选中的,Ub

2015-03-31 07:36:34 720

原创 kref

kref:内核中最基本的引用计数,头文件“linux/kref.h”,其结构体为struct kref { atomic_t refcount;};有三个操作函数:// 初始化void kref_init(struct kref *kref){atomic_set(&kref->refcount, 1);smp_mb();}// 递增引用计数void kref_get

2015-03-11 21:36:42 1348

原创 linux usb驱动整理(将部分源码编译成静态库)

usb驱动在windows系统下只用支持主流的WinXp和Win7,代码就一套,编译出32位和64位两个版本release给用户就ok了。但在linux系统下就不一样了,众多的linux内核版本,即使常用的2.6.y和3.x.y都有好多种,针对每个版本内核都编译一把显然不现实。我们的做法是直接把驱动源码和Makefile发给客户,让客户自己编译。直接源码发给用户显然不符合公司的利益,上周老

2015-03-10 18:13:21 2073

转载 __declspec(dllexport),extern "c"与.def文件

转载自:http://blog.csdn.net/baozi3026/article/details/7312925__declspec(dllexport) 和 def文件        在VC++中,如果生成DLL可以不使用.def文件。只需要在VC++的函数定义前要加__declspec(dllexport)修饰就可以了。但是使用__declspec(dllexport)和使

2015-03-05 21:56:54 1328

转载 __declspec(dllimport)有什么用?

待续

2015-01-21 14:43:36 670

原创 windows下python3相关numpy,scipy等数学库下载地址

windows下python相关的库都可以从http://www.lfd.uci.edu/~gohlke/pythonlibs/下载。其中Scipy-stack (experimental) is a meta package that contains numpy-mkl, scipy, matplotlib, ipython, pandas, sympy, nose and man

2015-01-04 14:59:39 1266

转载 安装基于Python3 的NumPy, SciPy, matplotlib和Scikit-Learn

from http://www.th7.cn/Program/Python/201408/263786.shtml软件版本:Ubuntun 14.04, Python 3.4, NumPy 1.8.1, SciPy 0.14.0, Scikit-Learn 0.16Numpy, SciPy 的官网安装文档,安装的是基于Python 2.7的,SciPy-Learn 官网的安装文档,也是

2014-12-28 00:02:07 2782

转载 perl require @INC

perl的包含文件require ("myfile.pl");    基本的包含文件全过程建立一个include文件,就按整个的perl script文件格式建立,文件中写sub[macg@localhost perltest]$ vi file1.pl#!/usr/bin/perlsub gotest{my(@tmp)=@_;o

2014-12-23 16:35:33 1410

转载 windows usb驱动开发

转载自http://blog.csdn.net/yybing/article/details/46010731、写USB功能驱动需要了解的内容    a.  驱动编译方法        各种入门书上都有介绍,用DDK的Dos环境编译时,错误信息会在Dos界面显示,如果这些信息不能定位问题,可以到代码目录下找名字为buildxx的log文件。    b.  驱动与设备的关联 

2014-12-16 22:21:52 1139

转载 windows驱动inf文件详解

转载自http://www.verydemo.com/demo_c173_i93265.html    INF文件是一个文本文件,由许多按层次结构排列的节组成,他们以方括号中的节名称开始,如[Version]、[Manufacturer]等,后面是改接所含有的各个项,如Signature、DriverVer等。节中各项的基本定义格式为:    entry=value[,value…]

2014-12-16 17:48:51 7339

转载 regex

转载自http://www.regexlab.com/zh/regref.htm引言    正则表达式(regular expression)就是用一个“字符串”来描述一个特征,然后去验证另一个“字符串”是否符合这个特征。比如 表达式“ab+” 描述的特征是“一个 'a' 和 任意个 'b' ”,那么 'ab', 'abb', 'abbbbbbbbbb' 都符合这个特征。

2014-12-15 11:40:26 619

转载 谈WDM与WDF (windows驱动开发)

WDF驱动模型如所周知,自Windows 2000开始,开发驱动程序必以WDM为基础的,但其开发难度之大,根本不能奢望像用户模式应用程序开发那样容易。为改善这种局面,微软推出了新的驱动程序开发环境。要预先指出的是,这不是另起炉灶改弦更张,而是以WDM为基础进行了建模和封装,显著特点是降低了开发难度。因为:1、   将原来普通程序设计中基于对象的技术应用到了驱动开发中。WDM中虽也有对象模型

2014-12-10 21:25:27 3798

转载 【C/C++】Linux下使用system()函数一定要谨慎

转载自http://my.oschina.net/renhc/blog/53580曾经的曾经,被system()函数折磨过,之所以这样,是因为对system()函数了解不够深入。只是简单的知道用这个函数执行一个系统命令,这远远不够,它的返回值、它所执行命令的返回值以及命令执行失败原因如何定位,这才是重点。当初因为这个函数风险较多,故抛弃不用,改用其他的方法。这里先不说我用了什么

2014-12-09 20:02:37 725

转载 QT QObject::connect函数的学习

转载自http://blog.csdn.net/ybjx111/article/details/8272405从Qobject(QObject.h)源码中可以看到QObject::connect的定义是这样的:[cpp] view plaincopystatic bool connect(const QObject *sender, const 

2014-12-09 20:00:22 1637

转载 FPGA开发流程

学习fpga应该从xilinx和altera器件的入手,这是当今世界最大的两家可编程器件供应商,当然学习fpga应该你具备一定的Verilog的基础。我们就用xilinx作为例子来讲解吧。  fpga的开发流程,首先是综合,布局布线,生成bit文件,最后下载。  综合,可以用synplify,也可以用synopsys的fpga compiler,当然也可以用ISE自带的综合工具,Mento

2014-11-29 11:02:46 1108

转载 用C/C++语言开发大规模FPGA (2007-07-28 14:01)

背景    可编程逻辑器件的设计方法经历了布尔等式,原理图输入,硬件描语言这样一个发展过程。随着设计的日益复杂和可编程逻辑器件规模的不断扩大,人们不停地寻求更加抽象的行为级设计方法,以便在尽可能短时间内完成自己的设计构思。 现状与问题    今天,在电子设计领域形成了这样一种分工:软件和硬件,相应工程师也被分成软件工程师和硬件工程师。    对于复杂算法的实现,人们通常先建立系

2014-11-29 10:51:11 1035

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除