自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(69)
  • 资源 (15)
  • 收藏
  • 关注

转载 Qt Creator5.12配置OpenCV4.3.0和opencv_contrib扩展包(亲测有效)

本文结构 第一部分 只安装Qt Creator和配置OpenCV 1.Qt Creator5.12.2下载与安装 2.Cmake下载与安装 3.OpenCV下载 4.编译OpenCV 5.测试Qt程序 第二部分 配置opencv_contrib扩展库 6.添加opencv_contrib扩展库 7.测试使用opencv扩展库的Qt程序 8.本文使用的软件百度网盘下载 最终结果展示笔者使用的版本如下友情提示:这里Ope..

2020-08-30 16:00:49 2521 2

转载 Cmake 编译opencv缺少出现下载ffmpeg

编译opencv源码出现以下问题:CMake Warning at cmake/OpenCVDownload.cmake:190 (message):FFMPEG: Download failed: 6;"Couldn't resolve host name"For details please refer to the download log file:F:/opencv3.4.10/newbuild/CMakeDownloadLog.txtCall Stack (most recent c

2020-08-23 13:41:21 3124 11

转载 Qt在Windows下的三种编程环境搭建

从QT官网可以得知其支持的平台、编译器和调试器的信息如图所示:http://qt-project.org/doc/qtcreator-3.0/creator-debugger-engines.html(Home | Docs | Qt Creator 3.0 | Debuggingand Analyzing | Setting Up Debugger)本篇主要讲解QT在Wi...

2019-06-08 22:17:28 6139 2

转载 Quartus II 13.1c (64-bit)与仿真器的安装与破解

其实很多时候我们用web版就够了,不用破解,不要license,很方便, web版链接:https://pan.baidu.com/s/1OSvnko0b_TEEZvQ7EeQB6A 密码:g920 点击QuartusSetupWeb-13.1.0.162.exe进行安装,安装完成以后对modelsim进行配置,将vsim.exe的路径添加到tools->options->EDA To...

2018-07-11 23:54:32 88320 101

转载 zynq中三种实现GPIO的方式

 本文介绍在zynq中三种实现GPIO的方式,分别为MIO、EMIO和IP方式。MIO和EMIO方式是使用PS部分的GPIO模块来实现GPIO功能的,支持54个MIO(可输出三态)、64个输入和128个输出(64个输出和64个输出使能)EMIO而IP方式是在PL部分实现 GPIO功能,PS部分通过M_AXI_GP接口来控制该GPIO IP模块;另外EMIO模块虽然使用PS部分GPIO但也使用了PL...

2018-05-05 13:08:53 2181

转载 mac上用VMWare虚拟机装Ubuntu--及Ubuntu安装Vmware Tools

转自:http://blog.csdn.net/JackJia2015/article/details/50757430这里给出工具的下载链接。需要的工具软件 vmware fusion for Mac :链接: https://pan.baidu.com/s/1qZAU7oS 密码: b4a9软件 Ubuntu 系统光盘 或 镜像文件:链接: https://pan.baidu.com/s/1k...

2018-04-09 17:13:21 21138 3

转载 vhdl中变量(variable)和信号(signal)的区别

 信号(signal)               变量(variable)赋值:                 <=                                         : =定义:            在结构体中                            在进程中适用范围:    全局                              ...

2018-04-09 13:34:44 2453

转载 CCIR656

CCIR656  2010-04-22 14:47:27|  分类: 高清&智能&音/视 |  标签: |字号大中小 订阅ITU-R BT 601(CCIR601旧称):16位数据传输;21芯;Y、U、V信号同时传输。 ITU-R BT 656(CCIR656旧称):9芯,不需要同步信号;8位数据传输;串行视频传输;传输速率是601的2倍;先传Y,后传UV。

2018-01-10 09:42:38 1316

转载 LSC

概述介绍镜头阴影校正(Lens Shading Correction)是为了解决由于lens的光学特性,由于镜头对于光学折射不均匀导致的镜头周围出现阴影的情况。shading可以细分为luma shading和color shading:luma shading: 由于Lens的光学特性,Sensor影像区的边缘区域接收的光强比中心小,所造成的中心和四角

2017-12-01 18:11:21 4005

转载 matlab中卷积运算conv2的三种形式

matlab中的conv2是用于对二维数据进行卷积运算,有三个参数可供选择,下面是help content of conv2conv2 Two dimensional convolution.    C = conv2(A, B) performs the 2-D convolution of matrices A and B.    If [ma,na] = size(A), [

2017-11-24 17:58:55 612

转载 FPGA基础知识3(xilinx CLB资源详解--slice、分布式RAM和Block ram)

以下分析基于xilinx 7系列CLB是xilinx基本逻辑单元,每个CLB包含两个slices,每个slices由4个(A,B,C,D)6输入LUT和8个寄存器组成。 同一CLB中的两片slices没有直接的线路连接,分属于两个不同的列。每列拥有独立的快速进位链资源。slice分为两种类型 SLICEL,  SLICEM .  SLICEL可用于产生逻辑,算术,ROM。

2017-11-10 10:27:02 2729

转载 xilinx 约束文件

几种常用的约束语句,以备查阅。NET "clk0"  TNM_NET = "sys_clk_grp";  #在时钟网线clk上附加一个TNM_NET约束,把clk0驱动的所有同步元件定义为一个名为sys_clk的分组#使用TIMESPEC约束sys_clk_grp的周期TIMESPEC "TS_ sys_clk_grp " = PERIOD " sys_clk_grp

2017-11-09 19:56:10 3605

转载 xilinx fpga学习笔记7:时序约束原理

七、设计约束原理设计约束文件直接影响设计性能和设计效率。xilinx的ISE软件提供了实现不同类型约束的方法:    1、用户约束文件(User Constraints File,UCF)是一个ASCII文件,该文件指明了用于逻辑设计的约束。设计者可以使用文本编  辑器或约束编辑器来创建UCF文件。这些约  束影响逻辑设计在目标器件的实现方式。设计者可以使用UCF文件来覆盖

2017-11-09 19:51:38 1142

转载 xilinx fpga学习笔记7:实现属性参数的功能

7.5 实现属性参数设置选项功能1)翻译属性(Translate Properties):a、使用位置约束(Use LOC Constraints):指定在输入网表或者UCF文件中是否使用位置约束,当设置为否时,NGDBuild忽略任何会导致错误的无效位  置信息,      在命令行中用-r选项运行NGDBuild同样可以设置此属性为Flase。默认情况下,此属性是设

2017-11-09 19:49:23 1122

转载 xilinx fpga学习笔记5:Xst综合属性

7.5 实现属性参数设置选项功能1)翻译属性(Translate Properties):a、使用位置约束(Use LOC Constraints):指定在输入网表或者UCF文件中是否使用位置约束,当设置为否时,NGDBuild忽略任何会导致错误的无效位  置信息,      在命令行中用-r选项运行NGDBuild同样可以设置此属性为Flase。默认情况下,此属性是设

2017-11-09 19:46:47 1314

转载 解释型语言和编译型语言的区别

解释型语言和编译型语言的区别首先,我们编程都是用的高级语言(写汇编和机器语言的大牛们除外),计算机不能直接理解高级语言,只能理解和运行机器语言,所以必须要把高级语言翻译成机器语言,计算机才能运行高级语言所编写的程序。     说到翻译,其实翻译的方式有两种,一个是编译,一个是解释。两种方式只是翻译的时间不同。     用编译型语言写的程序执行之前,需要一个专门的编译过程,通

2017-10-19 10:54:37 645 1

转载 FPGA 提高 时序的方法

解决FPGA时序问题的八大忠告忠告一、、如果时序差的不多,在1NS以内,可以通过修改综合,布局布线选项来搞定,如果差的多,就得动代码。 忠告二、看下时序报告,挑一个时序最紧的路径,仔细看看是什么原因导致,先看逻辑级数是多少?是哪种电路有问题,乘法器 或者还是RAM接口数据 先弄清楚哪儿的问题 忠告三、搞时序优化的话 插入寄存器是王道 但也要看具体情况 不

2017-08-03 14:08:03 750

转载 均值,方差,协方差,协方差矩阵,特征值,特征向量

1. 均值:描述的是样本集合的中间点。公式如下2.标准方差:描述的是样本集合的各个样本点到均值的距离之平均,一般是用来描述一维数据的。3.协方差:       1)是一种用来度量两个随机变量关系的统计量。       2)只能处理二维问题。       3)计算协方差需要计算均值。4. 方差与协方差的关

2017-07-22 10:59:23 2239

转载 镜头桶形失真校正算法

短焦镜头通常会产生桶形失真,以下是校正算法的matlab代码:view plaincopy to clipboard%% 镜头桶形失真校正(短焦镜头)    img_origin1 = imread('Still001.bmp');  img_origin = rgb2gray(img_origin1);    k1 = 

2017-06-16 10:15:45 1282 1

转载 HoG特征以及SVM的配合

1、HOG特征:       方向梯度直方图(Histogram of Oriented Gradient, HOG)特征是一种在计算机视觉和图像处理中用来进行物体检测的特征描述子。它通过计算和统计图像局部区域的梯度方向直方图来构成特征。Hog特征结合SVM分类器已经被广泛应用于图像识别中,尤其在行人检测中获得了极大的成功。需要提醒的是,HOG+SVM进行行人检测的方法是法国研究人员Dal

2017-04-17 13:31:12 6951 2

转载 vivado烧写flash

1)点击 bitstream setting ,将 bin_file 勾上,点击 OK。    2)点击 generate bitstream ,生成 bit 文件和 bin 文件3)点击 open hardware manager,连接板子。4)选中芯片,右键如下操作。 5)选择开发板上的 flash 芯片,点击 O

2017-03-21 09:41:38 24061 8

转载 C++数据类型——向量Vector(转)

向量(Vectors)  1.基本操作  vector是向量类型,她是一种对象实体,具有值,所以可以看作是变量。她可以容纳许多其他类型的相同实体,如若干个整数,所以称其为容器。Vector是C++STL(标准模板类库)的重要一员,使用她时,只要包括头文件#include即可。  vector可以有四种定义方式: vector是模板形式,尖括号中为元素类型

2017-03-19 11:19:20 2424

转载 vs2013 统一修改所有工程的目录配置(以 boost、opencv3. 的安装为例)

vs2013 配置安装 opencv3.:VS2013下OpenCV3.0环境配置在 vs2013安装配置C++boost库 一文中我们介绍了,如何在vs2013环境里配置安装boost库。在配置vs2013时,我们只是介绍了如何对当前项目或者某一项目进行boost相关库等文件目录的设置,也即如果再新建一个工程,我们需重新配置工程中的boost目录设置。本文我们介绍一种一劳永逸的做

2017-03-14 22:23:06 1490

转载 双线性插值算法进行图像缩放及性能效果优化

一)转自http://handspeaker.iteye.com/blog/1545126最近在编程时用到了双线性插值算法,对图像进行缩放。网上有很多这方面的资料,介绍的也算明白。但是,这些文章只介绍了算法,并没有具体说怎么实现以及怎么实现最好,举个例子,你可以按照网上文章的算法自己写一个双线性插值程序,用它对一张图片进行处理,然后再用matlab或者openCV的resize函数对同一张

2017-02-22 18:35:47 1408

转载 图文详解YUV420数据格式

YUV格式有两大类:planar和packed。对于planar的YUV格式,先连续存储所有像素点的Y,紧接着存储所有像素点的U,随后是所有像素点的V。对于packed的YUV格式,每个像素点的Y,U,V是连续交*存储的。 YUV,分为三个分量,“Y”表示明亮度(Luminance或Luma),也就是灰度值;而“U”和“V” 表示的则是色度(Chrominance或Chroma),

2017-02-20 19:43:44 433

原创 LINK : fatal error LNK1104: 无法打开文件“qtmaind.lib”

在网上找了好久,没看到有效的方法,我综合网上的帖子得出下面的方法。方法:1.进入开始菜单Microsoft Visual Studio 2010,Visual Studio Tools,Visual Studio Command Prompt (2010),需要注意的是,这里面必须是使用Visual Studio Command Prompt (2010),不能使用CMD的Dos窗口

2017-02-17 16:22:01 17163 1

原创 VS2010配置Qt4.8.6出现的问题

问题一:或者Versions下的子选项如下所示:

2017-02-17 15:37:53 821

转载 VS2013 c++ 修改默认活动解决方案平台

也许你每次在VS新建工程的时候都希望能默认生成X64工程。但是每次新建工程的都是默认的win32.这时可以修改VS安装目录下:Microsoft Visual Studio 12.0\VC\VCWizards里面的三个文件:default.vcxproj、DefaultTest.vcxproj、vcupgradedefault.vcxproj用记事本打开,将Wi

2017-02-16 19:33:55 2900 1

转载 QtCreator2.8.0+QtOpenSource4.8.5环境配置(初学者必看)

开始使用QT时,环境配置好了,以后才不会有这方面的麻烦,所以第一步,把自己的工作环境搭建好!    下面是我的环境搭建过程,留个记录,也为了后来者能少走一些弯路。注:本人的系统是WIN7x64位的,其他系统没有尝试过,所以不保证其他系统使用本教程能一帆风顺。     1. 下载安装文件  要使用QT功能,那么必须要下载QT的源码,还必须要一个是用QT的编译环境,

2017-02-16 13:30:11 442

转载 c语言一维数组做参数传递给函数:

今天碰到了一维数组做函数参数的问题,那就扒一扒这个问题:首先抛结论:1:C语言中,当一维数组做函数参数时,编译器总是把它解析成一个指向其首元素的指针。2:实际传递的数组大小与函数形参指定的数组大小没有关系。然后举例说明:下面是一个元素交换函数,把数组array【i】和array【j】交换位置。注意看数组是怎么传递给函数的。正确的写法1:解

2017-02-15 17:04:54 5229

转载 C语言关于结构体做参数传递

首先结构体做函数参数有三种传递方式一是传递结构体变量,这是值传递,二是传递结构体指针,这是地址传递,三是传递结构体成员,当然这也分为值传递和地址传递。以传引用调用方式传递结构比用传值方式传递结构效率高。以传值方式传递结构需要对整个结构做一份拷贝。 下面看一个列子,student结构体中包含该学生的各种信息,我们在change函数中对其进行部分修改,再在主函数中输

2017-02-15 14:44:59 1172

转载 c语言中typedef的几种用法

几种用法:1.常规变量类型定义例如:typedef unsigned char uchar 描述:uchar等价于unsigned char类型定义 uchar c声明等于unsigned char c声明2.数组类型定义 例如: typedef int array[2]; 描述: array等价于 int [2]定义; array a声明等价于in

2017-02-14 13:15:18 401

转载 c语言中typedef的几种用法

几种用法:1.常规变量类型定义例如:typedef unsigned char uchar 描述:uchar等价于unsigned char类型定义 uchar c声明等于unsigned char c声明2.数组类型定义 例如: typedef int array[2]; 描述: array等价于 int [2]定义; array a声明等价于in

2017-02-14 13:10:02 438 1

转载 C语言enum枚举类型解析

在实际应用中,有的变量只有几种可能取值。如人的性别只有两种可能取值,星期只有七种可能取值。在 C 语言中对这样取值比较特殊的变量可以定义为枚举类型。所谓枚举是指将变量的值一一列举出来,变量只限于列举出来的值的范围内取值。 定义一个变量是枚举类型,可以先定义一个枚举类型名,然后再说明这个变量是该枚举类型。例如: enum weekday{sun,mon,tue,wed,thu,fri,s

2017-02-14 11:27:20 13827 2

转载 图像处理与计算机视觉基础,经典以及最近发展

************************************************************************************************************************************************************************************           在这里,我

2017-02-07 18:17:25 637

转载 视觉资料管理

整理一下网络资料,有很多引用其他人的资料,自己再提取了,以备后面用。http://www.cvpapers.com/rr.html这网站有很多资料,有时间多去看看。CV牛人的主页,CV研究小组的主页,CV领域的paper,代码,CV领域的最新动态,国内的应用情况等等。打算从事这个行业或者刚入门的朋友可以多关注这些网站,多了解一些CV的具体应用。搞研究的朋友也可以从中了解到很多牛

2017-01-23 10:57:40 309

转载 2.深度学习FPGA实现基础知识17(图像处理卷积运算 矩阵卷积)

需求说明:深度学习FPGA实现知识储备内容:第一部分:矩阵的卷积运算详细过程          第二部分:图像处理之卷积理解          第三部分:矩阵卷积转换为矩阵相乘整理来自:时间的诗第一部分:矩阵的卷积运算详细过程来自:http://blog.csdn.net/frankyzhangc/article/details/69

2017-01-17 16:49:45 2587

转载 以太网基础知识0(UDP和TCP有什么区别)

参考:http://zhidao.baidu.com/link?url=GSIg9_zFhWi6PHezalQveRwwUsU0as7k6MFd05r-cruLT1yDABARraHkuq8ohdIR54QtTIOHypS3Y0MTtnRcJ_1、概述       TCP---传输控制协议,提供的是面向连接、可靠的字节流服务。当客户和服务器彼此交换数据前,必须先在双方之

2017-01-17 16:15:19 1745

转载 图像缩放算法及速度优化——(二)双线性插值

双线性插值作为OpenCV中默认使用的图像缩放算法,其效果和速度都是不错的。并且效果也比较稳定,计算复杂度并不算太高。我看了很多网上的算法,自己也没看太懂,下面是从网上找的双线性插值 算法的讲解。    “图像的双线性插值放大算法中,目标图像中新创造的象素值,是由源图像位置在它附近的2*2区域4个邻近象素的值通过加权平均计算得出的。双线性内插值算法放大后的图像质量较高,不会出现像素值不连续的的

2017-01-17 16:02:29 3693 2

转载 Opencv之<Vec3b>是什么东东

一、What is vector?       vector(向量): C++中的一种数据结构,确切的说是一个类.它相当于一个动态的数组,当程序员无法知道自己需要的数组的规模多大时,用其来解决问题可以达到最大节约空间的目的.用法:1.文件包含:                首先在程序开头处加上#include以包含所需要的类文件vector,还有一定要加上using name

2017-01-13 11:11:42 4634 1

modelsim教程.pdf

各种时序类型仿真教程,从事IC以及有基础的FPGA开发人员

2021-12-03

海思Hi365视频编解码芯片手册

海思推出强大的视频编解码芯片Hi365,能对视频以及音频进行H264编码,官方芯片手册仔细看,细心体会工作过程。

2016-06-25

图像滤波算法之guide filter导向滤波

guide filter导向滤波算法的matlab代码,针对guide filter有几种方式的处理效果,对比之后可根据自己的情况选择最优方案。

2016-06-15

zedboard串口驱动

xilinx和德致伦公司合作开发的zedboard开发板,FPGA芯片里面含有ARM Cortex-A9双核,构成嵌入式系统,快速开发。这是板上的USB转UART驱动。

2016-04-28

基于xilinx平台的DDR2控制器设计

基于FPGA的xilinx平台的DDR2控制器设计,这是个用户接口的工程,便于开发。

2016-02-21

FFT快速傅里叶变换

基于FPGA的1024个点的FFT快速傅里叶变换代码

2016-02-21

Xilinx DDR3之综合篇

非常详细的DDR3 IP核设计的文档,是xilinx的,对于没接触DDR的童鞋来说再也适合不过了

2016-01-08

单片机用GPIO模拟SPI协议

当STM32内部的SPI协议资源不够的时候,就需要用GPIO模块SPI协议,以便工程的开发,当然也可以模拟IIC,UART等协议。

2015-10-24

黑金开发板EP4CE15教程

黑金开发板FPGA系列之EP4CE15,结合工程实例讲解了FPGA逻辑设计,从细节让你掌握编码技巧。

2015-08-25

CY7C68013文档资料

CY7C68013资料大全,掌握设计细节,深入USB开发。

2015-08-24

基于verilog的小数分频

这是一个基于verilog的小数分频,在FPGA开发设计中,分频模块必不可少的会用到。

2015-03-19

verilog典型电路设计_华为

华为用的典型verilog电路设计,这些是工程中常用的模块

2014-04-12

Quartus_II_13.0_x64破解器

quartus ii 13.0 破解器,以及解压后的破解说明

2013-05-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除