自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

ZhuXiaoLong‘Blog

记录学习中的点点滴滴,让每一天过得更有意义!!!

  • 博客(52)
  • 资源 (12)
  • 收藏
  • 关注

原创 STM32F103以SPI 驱动128*128的TFT彩屏,LCD驱动为ST7735s,程序使用CubeMX的HAL库开发方式,另外也做了标准库的程序移植

1. 实验任务:本实验使用 STM32F103R6 芯片,通过软件SPI的方式,控制128*128分辨率的LCD,实现在液晶屏上显示汉字,英文,数字,图片等内容。2. 实验效果展示:3. 硬件设计 STM32F103 MCU ST7735 显示屏 PA6 背光控制 PB13 SCK PB15 MOSI ...

2021-10-26 16:41:08 7442 2

原创 Altium Designer 的PCB中添加图片或logo的方法

以Altium designer 6.9为例:1.点击DXP→Run Script..2.点击Browse 打开:AD的安装目录下\Altium Designer 6\Examples\Scripts\Delphiscript Scripts\Pcb\PCB Logo Creator\PCBLogoCreator.PRJSCR文件 3.选中RunConverterScript,然后点击

2014-12-04 17:29:43 20698 1

原创 CC1101 监听空气中载波信号强度(CCA)功能调试通过

阅读本文章前,请先认真阅读数据手册,同时查看我转载的CCA(1)和CCA(2)两篇文章进行扫盲。最近一直在用CC1101这款无线芯片,今天把CCA功能调试成功了,特来分享一下。我的思路是使能CC1101为CCA功能,GDO2引脚配置为输出载波感应电平,设置好感应电平阈值。现在监听空气中的载波信号强度,如果接收信号强度高于门限时,GDO2为高电平;当接收信号强度低于门限时,GDO2为低电平。

2014-11-06 23:13:19 7116 3

转载 CC1100 CCA(2)

用了两个多月的CC1100,一直只是简单的收发函数,没有用到它比较先进的功能。摸索了好几天,终于把这个CCA功能实现了。为下一步CSMA做准备。      在网上找了相关的资料,只有一篇有关这方面的,“Dian团队嘉铭标记机软件组Blog ”的一篇《CCA功能验证过程》,经过研究,发现其中有些小错误,而且细节部分没有交代清楚。在此把我的心得体会与大家分享。一、CS功能验证      C

2014-11-06 22:24:56 1859

转载 CC1100 CCA (1)

一、任务:在信道不空闲的时候,验证CC1100无线模块是否能在硬件上判      断信道忙,并阻碍芯片进入发送状态。该验证是为载波监听,冲突监测的信道复用方法做准备,验证其是否可行。二、需进行的配置:1、当用命令滤波来使芯片进入TX状态时,进入是否成功取决于CCA MODE的配置,CCA  MODE指示了那种情况可认为是信道空闲:CCA  MODE    信道空闲的标准00

2014-11-06 22:23:49 1999

原创 PIC单片机该怎么加密

见过很多初学者对PIC16系列单片机的ID码的读和写犯迷糊。说实话,这方面的资料也不怎么全。有些教材可能会涉及ID区域的介绍,可是往往是一代而过。这对初学者来说是比较容易犯错的地方。今天有空,对相关的问题作了一些整理,分享给大家。可能有些地方说的不够妥当,欢迎大家多多探讨。        1.什么是ID区域?ID区域是独立于EEPROM,FLASH,RAM的区域。程序设计人

2014-09-18 09:43:17 6034

原创 PIC16单片机设置不同IO口状态对功耗的影响

最近在做PIC单片机的低功耗,于是设置不同IO口状态测试了一下功耗情况,采用串联万用表的方式测量电流,单片机IO口为悬空状态,整个系统无外设驱动,测试采用的是PIC16F690单片机。思路如下:系统上电后初始化所有的IO,然后进入调用系统函数SLEEP();进入休眠状态。1. A、B、C端口全部为输出上拉:休眠后耗电500uA左右。2. A、B、C端口全部为输出下拉:休眠后耗电

2014-07-06 10:49:44 6081 2

原创 315M无线发射模块天线的长度计算

波长=光速/频率=300/315=0.952米1/4波长需要的天线长度=波长*1/4=0.952/4=0.238米考虑导线传播高频信号的缩短率在0.98左右,因此天线长度=0.238*0.98=0.233.所以一般的315M天线长度选择23cm,433M的天线长度在17cm左右。

2014-06-11 08:52:50 18041

转载 无线遥控IC 非锁/互锁/自锁的区别

为了满足不同的应用需要,解码接收电路的输出模式通常分为非锁,互锁,自锁,混合输出。几种模式通俗的说,非锁相当于轻触开关,互锁相当于风扇的档位开关,自锁相当于电灯开关。-------------------------------------------下文为对三种模式详细描述:  非锁型输出又称点动输出,数据脚输出的电平是瞬时的而且和发射端是否发射相对应,可以用于类似点动的

2014-05-31 16:39:10 3399

转载 使用AVR Studio 设置熔丝位并烧写程序

使用AVRStudio设置AVR熔丝位及烧写程序AVR Studio是ATMEL指定用于开发AVR MCU的官方软件,其编程功能最为强大。下面介绍使用AVRStudio烧写程序及熔丝快速入门。●使用AVRISP方式烧写程序及配置熔丝位对软硬件进行初始配置,并正确设备连接,就可使用AVRISP进行联机了。打开AVRStudio,点击主窗口中的图标前面标有Con的那个图标。出现如下图画

2014-03-27 10:13:27 7166

转载 一个老板的三句话

一个男人在外工作20年,终于要回家了,老板问他:你是要20年的工资还是要3句忠告?男人说我明天上路,明早给您答案好吗?老板说可以。当晚男人未眠…早晨,他对老板说:我要3个忠告。于是老板给他3句话。一、不要试图寻找不可能的捷径,世上没有便宜的事,只有脚踏实地才是最好的方法…无论做何事。二、不要对明知不是好事的事过分好奇,有可能你会因此而丧命。三、不要在冲动时做任何决定,否则这个决定就有可能成为你一辈

2014-03-09 13:03:55 1023

转载 Atmel Studio 6中延时函数错误的解决方法

错误 __builtin_avr_delay_cycles expects an integer constant.   经过查找发现是头文件版本更新所致。delay.h文件214行说明如下:Note: The new implementation of _delay_us(double __us) with    __builtin_avr_delay_cycles(unsi

2014-03-02 12:10:05 3972

转载 Xilinx FPGA开发板 Digilent Spartan-3E 学习资料收集整理

一、FPGA相关资料贴EDK实验 base in spartan-3e适合mircoblaze初学者  MicroBlaze嵌入式软核是一个被Xilinx公司优化过的可以嵌入在FPGA中的RISC处理器软核,具有运行速度快、占用资源少、可配置性强等优点,广泛应用于通信、军事、高端消费市场等领域。http://www.eeboard.com/bbs/thread-3740-1-1.h

2014-01-04 10:38:51 5398 2

转载 Xilinx FPGA开发板 Digilent Spartan-3E 学习资料整理

很多人抱怨Xilinx FPGA的资料很难找,Digilent的板卡资料网上怎么就没有呢!针对这些问题写了如下的BLog,希望对大家有帮助。最近几日在整理关于Xilinx FPGA和Digilent FPGA开发板的网站信息,主要目的是让更多的人知道,如何有效地搜索到Xilinx FPGA的用户手册,参考工程,如何找到Digilent FPGA板卡的资料,如何得到Xilinx和Digile

2014-01-03 16:42:56 5144 1

原创 发博客庆祝一下!

今天弄到一块超牛逼 Xilinx 的FPGA开发板 Digilent Spartan-3E (50万门),还支持NI Labview,特意发博客庆祝一下!(一分钱都没花,当然高兴了!嘿嘿!)接下来,我将正式进入 Xilinx 的FPGA开发板学习之旅!

2013-12-28 11:18:05 1174

转载 Verilog程序如何优化减少逻辑单元的使用数量

如何写代码减少逻辑单元的使用数量工作中遇到的问题,芯片级的资源有限制,没办法只能改进逻辑单元综合电路逻辑。一....尽量不要使用"大于""小于"这样的判断语句, 这样会明显增加使用的逻辑单元数量 .看一下报告,资源使用差别很大.     例程:always@(posedge clk)   begin    count1=count1+1;   if(count1==

2013-12-23 20:43:11 6983 1

转载 常见面试问题和回答技巧

面试问题1、 请你自我介绍一下自己好吗? 回答提示:一般人回答这个问题过于平常,只说姓名、年龄、爱好、工作经验,这些在简历上都有。其实,企业最希望知道的是求职者能否胜任工作,包括:最强的技能、最深入研究的知识领域、个性中最积极的部分、做过的最成功的事,主要的成就等,这些都可以和学习无关,也可以和学习有关,但要突出积极的个性和做事的能力,说得合情合理企业才会相信。企业很重视一个人的礼貌,求职

2013-11-16 13:52:23 1335

原创 C++ 实验三 继承和派生类 (附答案)

实验三  继承和派生类实验目的和要求    1.理解类的继承的概念,能够定义和使用类的继承关系。    2.掌握派生类的声明与定义方法。    3.熟悉公有派生和私有派生的访问特性。    4.学习虚基类在解决二义性问题中的作用。实验内容1.先阅读下面的程序,分析程序运行的结果,然后再上机运行程序,验证自己分析的结果是否正确。(1)#includeclass

2013-10-23 22:49:14 6883 1

原创 redhat 中安装rpm包时遇到错误 “error: Failed dependencies:xinetd is needed by ....”

redhat中安装rpm包时遇到“error: Failed dependencies:”错误,如下:rpm -ivh telnet-server-0.17-35.i386.rpmwarning: telnet-server-0.17-35.i386.rpm: Header V3 DSA signature: NOKEY,4f2a6fd2error: Failed dependen

2013-10-15 22:12:37 3610

原创 当你对成功的渴望足以与你对呼吸的渴望相媲美的时候,你就会成功!

曾经有一个年轻人,想赚很多很多的钱。所以他找到一位他视为偶像的大师,并告诉大师他想成为象大师一样强大的人。大师说,如果你想成为象我一样成功的人,明天早上来海滩见我。所以那个年轻人四点就赶到了,想显得自己信心满满,西装革履。他当时应该穿着短裤。大师走过来摸着他得头问:你有多想成功呢?年轻人说,我真的很想。接着大师让他走下水,所以他就这样走进海里

2013-10-09 16:06:12 2071 3

原创 C++实验二 类与对象 (附答案)

一个关于C++当中类与对象的基础实验。

2013-09-27 23:58:17 8938

原创 实验一 C++基础练习(附答案)

最近在学习C++,这是我做的几个基础练习题,希望和大家一起交流讨论。

2013-09-14 10:01:11 6844

转载 C++中的 using namespace std 详细介绍

C++ using namespace std 详解 所谓namespace,是指标识符的各种可见范围。C++标准程序库中的所有标识符都被定义于一个名为std的namespace中。一 :和是不一样,前者没有后缀,实际上,在你的编译器include文件夹里面可以看到,二者是两个文件,打开文件就会发现,里面的代码是不一样的。后缀为.h的头文件c++标准已经明确提出不支持了

2013-09-11 23:39:48 2858

转载 Keil软件常见错误警告

1、warning:  #767-D: conversion frompointer to smaller integer 解释:将指针转换为较小的整数影响:可能造成的影响:容易引起数据截断,造成不必要的数据丢失。如果出现bug,很难调试。改正:尽量避免这种转换,避免不了要确定转换的数据不会引起数据丢失。 2、 warning:  #177-D:variable"i"

2013-09-03 22:57:26 7216

转载 STM32配置DAC输出固定电压和方波

STM32F103VCT6自带两个12位DAC,DAC的转换速度一直没有查到,网上有人说是1MHZ的频率,那就是1us了。ADC的转换时间在56MHZ工作频率下为1us,在72MHZ工作频率下为1.17us。如果AD和DA有对称关系的话,那么很可能跟ADC的时间相同。(仅作分析用!)

2013-08-27 17:55:09 7429

转载 verilog 编程中wire与reg类型的区别

每次写verilog代码时都会考虑把一个变量是设置为wire类型还是reg类型,因此把网上找到的一些关于这方面的资料整理了一下,方便以后查找。        wire表示直通,即只要输入有变化,输出马上无条件地反映;reg表示一定要有触发,输出才会反映输入。        不指定就默认为1位wire类型。专门指定出wire类型,可能是多位或为使程序易读。wire只能被assign连

2013-08-25 20:14:06 1814

转载 FPGA与CPLD的区别

系统的比较,与大家共享: 尽管FPGA和CPLD都是可编程ASIC器件,有很多共同特点,但由于CPLD和FPGA结构上的差异,具有各自的特点: ①CPLD更适合完成各种算法和组合逻辑,FP GA更适合于完成时序逻辑。换句话说,FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘积项丰富的结构。 ②CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分

2013-08-23 18:14:38 950

原创 STM32关于优先级设定的理解 NVIC_SetPriority()

STM32关于优先级设定的理解 NVIC_SetPriority()

2013-08-23 17:05:18 11287

转载 STM32学习笔记:外部中断EXTI的使用

中断对于开发嵌入式系统来讲的地位绝对是毋庸置疑的,在C51单片机时代,一共只有5个中断,其中2个外部中断,2个定时/计数器中断和一个串口中断,但是在STM32中,中断数量大大增加,而且中断的设置也更加复杂。今天就将来探讨一下关于STM32中的中断系统。

2013-08-22 13:50:24 3962

原创 用Quartus II 建立一个工程模板,以后新建工程时无需再配置参数

以前用Quartus II 每次新建工程时,都要设置工程名,选择工程路径,选择芯片型号等等,好麻烦呀!可不可以建一个工程模板,以后新建工程时直接改相应文件名就可以呀!后来我一琢磨,感觉也不是不可以。因此就有了下面的内容,大家可参考我说的方法试一试!1. 在一个非中文目录下建立一个工程文件,取名为XXXX2. 打开Quartus II软件,选择 File>New Project

2013-08-18 13:43:30 3960

原创 解决JLINK v8 连接到电脑后显示无法识别的USB设备

一般来说我们所用的JLINK都是山寨的,一个国外正品JLINK得好几百,所以我们买一个几十块钱的JLINK,要求就不要太高了,能用就行。因为是山寨的嘛,所以用着用着很有可能会给你一个惊喜,那就是显示无法识别的USB设备!一般出现这种情况都是内部固件意外损坏或丢失,别怕,下面给出修复的详细教程。 1.安装固件烧录软件请网上下载 AT91-ISP下载烧录软件,下载地址可去atmel官网下载

2013-08-12 18:49:30 19141

原创 STM32 驱动12864液晶显示汉字、图片、画点、横线、竖线、斜线

我做本实验的软件平台为MDK,软件,12864液晶屏为并行接法。其中所有程序全部由自己编写,最终可在12864液晶屏上面任意位置显示任意内容。下面贴出实验源程序,希望对做12864液晶屏实验的同学提供参考。本实验的整个工程文件可在此处下载:/*******************************************************************

2013-08-11 21:20:07 12792 2

原创 分享几个STM32开发板的光盘资料

http://115.com/file/e7w79skd#野火stm32-实验代码+PDF教程.rarhttp://115.com/file/c2m25m85#野火stm32除代码和pdf教程之外的光盘资料.rarhttp://115.com/file/e7w7cd80#STM32神舟IV号光盘资料(上).ziphttp://115.com/file/e7w7c

2013-08-05 00:10:03 7127

原创 用U盘烧写Uboot.bin到Nor Flash

1.在开发板上面插上U盘2.打到 NAND flash模式启动U-boot,输入以下命令打开usb设备。[u-boot@SMDK2440A]# usb reset //以重启的方式或者用[u-boot@SMDK2440A]# usb start //以直接启动的方式3.给USB设置驱动号:[u-boot@SMDK2440A]# usb dev 0

2013-07-30 23:50:12 3363

原创 解决mini2440开发板和虚拟机相互ping不通

很奇怪的事,前段时间使用都还是好好的,但今天不知什么原因开发板和虚拟机怎么也无法PING通。虚拟机用的:fedora14开发板IP:192.168.0.250虚拟机IP:192.168.0.100先查看基本的设置对不:虚拟机桥型连接,开发板printenv查看IP是否设置在一个网段,查看主机和虚拟机ping的通不,主机和开发板相互ping的通不,查看完之后,都OK的

2013-07-30 23:47:49 2785

原创 quartusII 破解后运行仿真出现如下错误的解决办法 Error: Current license file does not support the EP1C6Q240C8 device

今天我用破解器破解了quartusII后,运行仿真时一直出现:error:Current license file does not support the EP1C6Q240C8 device网上一查说是我的license安装好,捣鼓了一阵子后终于破解好了,在此说说解决的方法。第一步: 将quartus.ii.11.0破解器-patch.exe复制到quartus ii 11.0

2013-06-30 20:58:48 73635 17

转载 一名25岁的董事长给大学生的忠告

一、读大学,究竟读什么?   大学生和非大学生最主要的区别绝对不在于是否掌握了一门专业技能……一个经过独立思考而坚持错误观点的人比一个不假思索而接受正确观点的人更值得肯定……草木可以在校园年复一年地生长,而我们却注定要很快被另外一群人替代……尽管每次网到鱼的不过是一个网眼,但要想捕到鱼,就必须要编织一张网……    二、人生规划:三岔路口的抉择   不走弯路就是捷径……仕途,商界,

2013-05-26 20:55:08 1027

转载 电子信息工程专业学生的就业方向

如果从工程师和研究生的专业方向来看,电子信息专业的方向大概有1)数字电子线路方向。从事单片机(8位的8051系列、32位的ARM系列等等)、FPGA(CPLD)、数字逻辑电路、微机接口(串口、并口、USB、PCI)的开发,更高的要求会写驱动程序、会写底层应用程序。单片机主要用C语言和汇编语言开发,复杂的要涉及到实时嵌入式操作系统(ucLinux,VxWorks,uC-OS,WindowsC

2013-05-26 20:47:19 2486

原创 2440裸机程序——LCD图片显示实验

我使用的软件平台是MDK,工程当中需要添加 S3C2440A.s ;2440lib.c;main.c;lcd.c;sunflower_240x320.c 这五个程序。其中sunflower_240x320.c 是一幅BMP格式向日葵图片的 *.c文件,在此不再列出,若没有这个文件,可以用转换工具如 bmp2h.exe 把bmp格式的图片转换成64K色的数组数据(*.c文件);下面分别列出

2013-05-11 19:43:02 2313

原创 2440裸机程序——触摸屏测试程序

工程当中需要添加 S3C2440A.s ;2440lib.c;main.c;touchpanel.c这四个程序。主程序:/********************************************************************************************** File name: main.c* Author: ZXL

2013-05-10 23:28:11 1432

STM32F103驱动128*128的TFT彩屏,LCD驱动为ST7735s,程序使用标准库开发。含文字和图片取模软件

STM32F103驱动128*128的TFT彩屏,LCD驱动为ST7735s,程序使用标准库开发。含文字和图片取模软件

2021-10-26

STM32F103驱动128*128的TFT彩屏,LCD驱动为ST7735s,程序使用了CubeMX的HAL库开发方式

STM32F103驱动128*128的TFT彩屏,LCD驱动为ST7735s,程序使用了CubeMX的HAL库开发方式

2021-10-26

Xilinx FPGA开发板USB下载驱动,win7 x32、x64位系统均有效

Xilinx FPGA开发板USB下载驱动,针对win7 x32、x64位系统均有效。本人64位旗舰版亲测:先打开nt64文件夹运行wdreg.exe,再运行install_xusb.bat,然后USB jtag下载线连接到开发板,就可以正常下载程序了。希望对大家有用!

2014-01-02

Altium Designer 14 下载地址+破解注册License+安装步骤

资料包括Altium.Designer.14.0.9.build.30380 下载地址+注册License+安装教程,完全破解一次搞定,轻轻松松安装Altium Designer 14。整个过程本人亲测,绝对可用。

2013-11-17

STM32 实现12864液晶屏显示汉字和图片,且可在任意位置画点、横线、竖线、斜线。

STM32 实现12864液晶屏显示汉字和图片,且可在任意位置画点、横线、竖线、斜线。其中所有程序全部由自己编写,且所有功能可全部实现。

2013-08-11

S3C2440A 中文芯片(数据)手册+英文芯片(数据)手册

该文件夹内包括三星公司的ARM9芯片 S3C2440A 的中文和英文两种语言的芯片(数据)手册,希望对学习ARM9的朋友有所帮助!

2013-05-29

CuteFTP 9.0 连接不上虚拟机的解决办法

该文档是通过FTP搭建虚拟机与主机之间的通信,最后通过软件Cute-FTP 9.0实现虚拟机与主机之间进行文件的快速上传与下载。经过千辛万苦最后成功了,在此分享一下。

2013-05-25

Multisim_11.0详细的_安装+汉化+破解_全过程

该文件夹内包括Multisim_11.0详细的_安装+汉化+破解_全过程,希望对大家有所帮助。

2013-05-24

mini2440基于MDK的全套裸机程序

这套程序是我用mini2440在MDK环境下自己写的裸机程序,包括LED,LCD,AD,中断,串口,定时器,触摸屏,希望可以给正在学ARM9的朋友一些帮助。

2013-05-19

安装Fedora 14步骤出现eth0错误解决参考办法

经常在网上看到有的朋友安装Fedora 14的时候,老是显示eth0错误,希望这篇文章可以帮您解除烦恼!

2013-05-19

天津工业大学历年耀华杯c语言计算机竞赛赛题

给大家分享一下天津工业大学历年耀华杯c语言竞赛赛题。

2013-05-05

如何从虚拟机的终端一秒钟进入任何一个文件夹

给大家分享一个小程序,名叫qcd程序,即快速进入的意思。安装后可以让你从终端快速进入虚拟机中的任何一个文件夹,再也不用cd后面接一串路径了。

2013-05-01

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除