自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(143)
  • 资源 (1)
  • 收藏
  • 关注

转载 verdi

Verdi基础知识整理_小小黑的博客-CSDN博客_verdi

2022-02-09 14:36:33 319

原创 低功耗设计

1.低功耗设计 - 标签 - IC_learner - 博客园https://www.cnblogs.com/IClearner/tag/%E4%BD%8E%E5%8A%9F%E8%80%97%E8%AE%BE%E8%AE%A1/2.低功耗设计之RTL级降低功耗_正在努力的ICer的博客-CSDN博客_rtl低功耗设计3.数字芯片设计之RTL级低功耗设计 - 知乎...

2022-02-09 14:06:53 236

原创 Vivado 打开之前保存的仿真波形文件

涉及到的文件1. 波形数据库文件(.WDB) 其中包含所有的仿真数据。行为仿真完,工具会自动保存在.sim\sim_1、behav\xsim 文件夹 下,文件名为“xxx_behav.wdb” 需要注意的是,一次仿真对应一个.WDB文件,每次仿真都会自动覆盖掉前一次的.WDB文件, 因为.WDB文件自动保存的名称是一样的。如果想保存多次仿真的.WDB文件,在仿真完成后 到xsim文件目录下将.WDB文件重命名,这样下一次仿真就不...

2021-09-28 10:16:26 11714 3

原创 PyQT5 入门

PyQt5视频教程_PyQt5菜鸟教程http://www.bl186.net/Combo Box添加下拉选择的控件;Push Button添加按钮;List Widget添加列表框;Table Widget添加数据表格框,table中设置列数(右键-Edit Items-Colums),调整框体位置和文字大小,背景颜色以及windowTitle来优化界面显示,使用快捷键Ctrl+R预览当前编写的GUIPython3使用PyQt5制作简单的画板/手写板https://ww

2021-06-29 22:22:53 787 2

转载 浅谈图像格式 .bmp

本文转自:https://zhuanlan.zhihu.com/p/25119530浅谈图像格式 .bmp云无月C# / Python / Unity3D / 写写专栏文章96 人赞同了该文章位图(Bitmap)格式其实并不能说是一种很常见的格式(从我们日常的使用频率上来讲,远不如 .jpg .png .gif 等),因为其数据没有经过压缩,或最多只采用行程长度编码(RLE,run-length encoding)来进行轻度的无损数据压缩。以至于,LaTeX 并不能像插入 .jpg

2021-03-17 18:11:00 758

转载 Python读写Excel,擅用xlwt模块

转自:https://zhuanlan.zhihu.com/p/83803411Python读写Excel,擅用xlwt模块IT界老黑带你领略Python的魅力17 人赞同了该文章在处理各种.xlsx表格的数据处理和计算的工作,目前python用于操作表格的模块有很多,功能各有千秋。本文主要讲的是xlwt用于写,xlrt用于读。表格写入简单的写入功能可用xlwt模块,写入功能的难点在于写入合并的单元格。单元格的下标都是从0开始。xlwt官方API:https://x.

2021-02-07 10:17:41 172

转载 Python 图像处理

https://blog.csdn.net/eastmount/category_9278090.html?utm_source=&spm=1001.2101.3001.4235

2021-02-07 10:15:54 67

转载 详解vivado网表文件DCP文件的封装生成、使用与注意事项

本文转自:https://blog.csdn.net/u014586651/article/details/110653530详解vivado网表文件DCP文件的封装生成、使用与注意事项风中月隐2020-12-04 16:12:37107已收藏1分类专栏:FPGA文章标签:vivadodcp文件FPGA网表文件版权目录1 概述 2 DCP文件简介 3 DCP文件的封装生成 4 DCP文件的调用 5 DCP文件生成的注意事项1 概述...

2020-12-16 17:25:03 6784

原创 variable ‘next_state‘ is driven by invalid combination of procedural drivers

仿真状态机报错:按照提示的意思像是多重赋值?但是代码中找不到有多重赋值的地方,最后发现是阻塞(=)和非阻塞(<=)赋值的原因。错误代码:纠正:把“=” 改为“<=”

2020-10-27 16:18:25 1122

原创 xilinx 时钟切换

1、BUFGMUX_CTRL :等到当前时钟出现下降沿(下降沿出现前还是按照当前时钟输出,出现后一直保持Low),接着等到待切换时钟也出现下降沿后 切换输出。2、BUFGMUX_SYNC:(在时钟下降沿同步输出)等待当前时钟I0置Low(然后输出也保持0),接着等待I1出现下降沿后,输出切换到I1(在I1 下降沿时就已经切换输出了)3、BUFGMUX_1_SYNC: (条件和上面相反,而且是在时钟上升沿同步输出)等待当前时钟I0置High(然后输出也保持High),接着等待I1出现上

2020-10-13 15:50:49 1356 2

转载 MIPI DSI

本文转自:https://blog.csdn.net/eZiMu/article/details/56279847概述:MIPI包含很多通信协议,本章只讲述mipi用于显示接口的dsi(显示串行接口)。MIPI-DSI协议分层:MIPI-DSI分四层:应用层,协议层,通道管理层,物理层。如下图:MIPI-DSI物理层(D-PHY):1、物理层功能将通道管理层并行数据转换成串行数据发送,将接收到的串行数据转换成并行数据,传输给通道管理层。2、物理信号信号两种..

2020-08-25 11:46:25 498

原创 python 图像处理

一、skimage图像处理1、https://www.cnblogs.com/wangxiaocvpr/p/11656603.html2、https://www.cnblogs.com/denny402/p/5121501.html3、https://blog.csdn.net/weixin_38632246/category_8510895.html二、python openCV 图像处理1、https://blog.csdn.net/eastmount/category_92780.

2020-08-19 16:46:55 89

转载 Python excel 处理

1、python读取excel文件并保存成arrayhttps://blog.csdn.net/zhhy236400/article/details/105916436/import numpy as npimport xlrd #读取excel的库resArray=[] #先声明一个空listdata = xlrd.open_workbook("demo.xlsx") #读取文件table = data.sheet_by_index(0) #按索引获取工作表,0就是工作表1for i i

2020-08-18 17:49:14 159

转载 Numpy np.array 相关常用操作学习笔记

Numpy np.array 相关常用操作学习笔记1.np.array构造函数用法:np.array([1,2,3,4,5]) 1.1 numpy array 和 python list 有什么区别?标准Python的列表(list)中,元素本质是对象。如:L = [1, 2, 3],需要3个指针和三个整数对象,对于数值运算比较浪费内存和CPU。因此,Numpy提供了ndarray(N-dimensional array object)对象:存储单一数据类型的多维数组。1.2 如

2020-08-15 22:29:03 2004

原创 ZCU106 run error :Error while launching program: AXI AP transaction error, DAP status f0000021

Error while launching program: AXI AP transaction error, DAP status f0000021 :原因:内存配置参数与实际内存条不匹配。FAE反馈新版开发板更换了内存条,但是demo和默认的DDR配置都是按照老版本的。解决方法:1、更换内存条为:2、参照内存条参数配置;...

2020-04-17 09:23:01 2096 1

原创 petalinux ERROR: Failed to create workspace directory

使用petalinux-build命令时出现如下报错:原因:在petalinuxbsp.conf文件中添加本地离线路径时多了个半边双引号,去掉后OK。

2020-04-11 22:22:29 2109

转载 Ubuntu虚拟机扩展磁盘空间并合并

xilinx的工具太耗磁盘资源了,装个工具几十G,下个离线包解压后143G,把原本分的150G远远不够用,超烦人!扩展方法参考网友:https://blog.csdn.net/weixin_39510813/java/article/details/78387334这里是我的Ubuntu系统下现在的空间大小:我们首先需要咋vm虚拟机上进行磁盘的扩展:在虚拟机Ubu...

2020-04-10 22:27:26 5016

原创 petalinux 配置失败 :ERROR: Failed to menu config project component

Ubuntu18.04.2 +petalinux 2019.2创建工程OK ,但是get-hw时失败如下:网上搜到的解决方法:https://blog.csdn.net/xiao_yao_ke/article/details/82353731非常感谢网友@oFFCo万万没想到居然是ubuntu 界面没放大全屏的原因!...

2020-03-29 21:10:44 2506 5

原创 vivado 2019.2 Cannot identify default part

在win10 下安装vitis 开发软件,vivado 2019.2 编译出现如下错误:安装字面意思理解应该是缺少对应的器件,但是检查安装列表工程中所选的器件库已经安装成功,license 也OK。而且 重装了几次都一样的问题,但是vivado2019.1 、vivado 2018.2 (其他版本没试) 安装一样的器件库,同一个license ,创建一样的工程都OK。后面发现把全部...

2020-03-29 19:30:35 1334

原创 ubuntu18.4.2安装petalinux2019.2

安装Petalinux(参考ug1144)1.安装依赖工具:sudo apt-get -y install tofrodos iproute2:i386 gawk make net-tools libncurse5-dev tftpd zlib1g:i386 libssl-dev flex bison libselinux1 gnupg wget diffstat chrpath soca...

2020-03-16 16:12:45 1637 1

转载 Win7、Win8、Win10系统USB-Blaster驱动程序无法安装的解决办法

原文链接:https://blog.csdn.net/chengbozhe/article/details/47335391这篇博文是我转载dezhihuang的博文,原文名称和地址如下,并对内容做了适当调整:[原]Win7、Win8系统USB-Blaster驱动程序无法安装的解决办法第一次用Markdown编辑博客,格式方面真是捉急啊!Win7、Win8、Win10操作系统安装...

2020-03-13 16:11:31 1574

原创 vivado bug

vivado 2019.1 :1、MIPI RXsubsystem 手册说MPSOC -2 支持2500Mb/s ,但是vivado IP GUI配置界面只能选1500Mb/s 以下的速率。2、进行DRC 设计规则检测时,提示MIPI RX IP内部有没驱动的信号,但是追踪到IP底层代码是有赋值的。NDRV-1#1 ErrorDriverless Nets Undriv...

2020-01-16 16:54:28 2662

转载 xilinx 内部IO延时导入Allego

本文转自:http://blog.chinaunix.net/uid-25737580-id-5753248.htmlZYNQ 片内pin Delay导入Allegro分类:LINUX2016-10-12 20:43:28最近设计一款产品的时候,需要用到zynq7015,在参考picozed的设计的时候,他们的原理图中有这样一句话,如下图所示明确说DDR设计的时候需...

2020-01-06 20:46:09 1496 1

原创 ZCU104 Board Interface Test

1、官网下载rdf0452-zcu104-bit-c-2018-22、下载安装UART 驱动;https://www.ftdichip.com/Drivers/VCP.htm3、windows +R --> cmd解决方法:1、查看串口属性:2、修改set_ports.bat改为:重新跑即可...

2019-11-28 18:00:54 1203

转载 4K标准---电视显示标准(ITU-R BT.2020)

在电子视频显示与广播领域,一直以来都以追求更清晰的图像为目标,主要是通过提高显示分辨率来实现,从480p标清到720p高清,再由720p高清到1080p全高清,直至最近的4K与8K超高清开始进入民用领域,都可以清晰看到整个领域的发展趋势。而更高的分辨率意味着可以显示更多的画面细节与层次,显示设备的尺寸也因此需要不断变大。正是由于4K技术能够让我们看到更大的画面,更丰富的色彩与细节,因此也成为了目前...

2019-10-16 16:17:00 2701

原创 Zynq-7000 DDRC Address Map

2019-07-27 11:24:34 708

原创 无法触发vivado debug ila

1、更新硬件,重新编译,导出bit,更新SDK 编译后运行run configuration调试,在vivado 中无法执行触发波形:2、解决方法:删除SDK 文件夹,重新创建...

2019-07-25 19:46:43 2196

转载 色彩空间转换公式

一、 公式:基于BT.601-6   BT601 UV 的坐标图(量化后): (横坐标为u,纵坐标为v,左下角为原点) 通过坐标图我们可以看到UV并不会包含整个坐标系,而是呈一个旋转了一定角度的八边形,U越大蓝色越蓝,V越大,红色越红。名词解释:量化后: Y~(16,235) U ~(16-240) V~(16-240) ...

2019-07-11 14:45:51 1949

转载 图像自动去暗角算法

暗角图像是一种在现实中较为常见的图像,其主要特征就是在图像四个角有较为显著的亮度下降,比如下面两幅图。根据其形成的成因,主要有3种:natural vignetting, pixel vignetting, 以及mechanicvignetting,当然,不管他的成因如何,如果能够把暗角消除或者局部消除,则就有很好的工程意义。   这方面的资料和论文也不是很多,我最...

2019-07-09 08:54:17 938

原创 Block Design AXI BRAM Error

1、现象:在Block Design 中添加AXI BRAM ,如图:点击validate design 后,提示如下报错:ERROR: [axi_bram_cntlr-1] Port-A interface property <CONFIG.READ_WRITE_MODE> is not defined.ERROR: [Common 17-39] 'send_m...

2019-06-03 20:24:17 2517 1

原创 petalinux auto login error

参照GU1144 使用petalinux 创建APP自动运行工程,编译时出现如下错误:ERROR: myapp-init-1.0-r0 do_install: Function failed: do_install (log file is located at /home/zynq/petalnx_prj/linux_gpio/build/tmp/work/cortexa9hf-neon-x...

2019-05-17 14:45:44 544

转载 Linux平台Makefile文件的编写基础篇

本文转自: 源码工作室http://goodcandle.cnblogs.com/archive/2006/03/30/278702.html目的:基本掌握了make的用法,能在Linux系统上编程。环境:Linux系统,或者有一台Linux服务器,通过终端连接。一句话:有Linux编译环境。准备:准备三个文件:file1.c, file2.c...

2019-05-16 14:43:04 178

转载 Linux 目录架构

树状目录结构:以下是对这些目录的解释: /bin: bin是Binary的缩写, 这个目录存放着最经常使用的命令。 /boot: 这里存放的是启动Linux时使用的一些核心文件,包括一些连接文件以及镜像文件。 /dev : dev是Device(设备)的缩写, 该目录下存放的是Linux的外部设备,在Linux中访问设备的方式和访问文件的方式是相同的。 ...

2019-05-14 09:53:36 118

原创 虚拟机Ubuntu 下载网速慢的解决方法

打开设备管理器-->在网络适配器中找到网卡驱动右键-->高级--> 选择“大型发送分载” --> 在值中选择“禁用”

2019-05-06 16:47:08 7992

原创 Petalinux 使用

1、petalinux 工具下载:https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/embedded-design-tools/2018-1.html2、安装环境要求:(注意:petalinux 版本必须与vivado版本一致)3、设计流程:4、最小组件要求:...

2019-05-05 09:38:21 968

原创 Xilinx IO延时

Vivado -->File --> Export -->Export I/O Port --> .CSV文件

2019-04-19 16:13:08 1018

转载 建立保持时间

一、这两条约束语句都是针对板级延时而言的。语句中必须的有是,时钟与port.二、set_input_delay用于数据输入端口,调节数据输入与时钟输入到来的相位关系。当FPGA外部送入FPGA内部寄存器数据时,会有两个时钟launch clock 与latch clock,前者负责将数据从外部寄存器中送出,后...

2019-04-03 16:36:08 1226

原创 Error while running ps7_init method. No Elf file associate

使用vivado 2016.4  SDK GDB在run时出现如下报错: 在xilinx 官网下载xmdterm.tcl 文件:下载链接  https://www.xilinx.com/support/answers/68503.html然后用下载的文件替换安装目录 C:\Xilinx\SDK\2016.4\scripts\xmd  下的文件xmdterm.tcl再重启SD...

2019-01-25 15:53:11 566 2

原创 pow 没有定义

提示math.h中pow 和sqirf没有定义 

2019-01-25 15:24:59 1840

原创 SDK JTAG无法下载

1、如图,上下电,拔插USB接口还是一样无法下载,换一台电脑也一样,最后掉电把下载器接口板和线全部拔掉重新插后OK。2、win10 下电脑同时安装vivado2016.4 和vivado2018.2 会存在冲突,如果使用vivado2016.4 SDK 后,再用2018.2 SDK 会导致下链接不上或无法识别,下载不了,甚至连下载器的灯都不亮。设备管理器中驱动显示为:xili...

2019-01-17 19:49:54 1316

数字信号处理的FPGA实现(第3版)中文pdf及源码

数字信号处理的FPGA实现第三版pdf及光盘源码

2015-05-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除