自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

小墨

与其浪费生命,不如早早迈出你的脚步,因为有些事,如果一直拖着,就会永远做不了

  • 博客(85)
  • 资源 (25)
  • 收藏
  • 关注

转载 文章标题

背景:1、在做文本挖掘的时候,安装“结巴”算法包,需要gcc版本>4.6,但是不知道是不是有内核或其他东西是gcc低版本支持的,只好安装多版本; 2、linux版本:Red Hat Enterprise Linux Server release 6.2 (Santiago); 3、之前gcc版本4.4.6;新安装并存的gcc版本是4.8.3; 4、账户没有root权限,但是可以使用sudo(s

2017-07-31 15:20:04 418

原创 产品经理--工具篇

产品经理常用软件工具(来自知乎):产品文档:MS-Office, iWork思维导图/流程图:Mindmanager,Freemind,Xmind,Mindnode,Visio,PPT原型图:Axure,Balsamiq Mockups,Fireworks,Photoshop,Mockingbird(web),Omnigraffle项目管理:Project,Todolist,Exc

2015-12-03 17:42:28 964

转载 如何进行代码重构

代码的坏味道:1、重复代码;2、过长函数;3、过大的类(C中可说过大的结构体);4、过长参数列表;5、发散式变化;6、依恋情结;7、霰弹式修改;8、数据泥团;9、基本类型偏执;10、switch惊悚现身;11、冗余类;12、平行继承体系;13、夸夸其谈未来性;14、过度耦合的消息链;

2014-11-21 17:36:06 1104

原创 labwindows/CVI excel报表

生成报表按钮回调函数int CVICALLBACK report_excel (int panel, int control, int event, void *callbackData, int eventData1, int eventData2){ int error; char strBuf[20]={0}; double data[10]={1.213,2.25

2014-08-24 20:43:44 6726

原创 labwindows cvi串口采集数据与实时显示

1.  OpenComConfig (7, "", 115200, 0, 8, 1, 512, 512); SetCTSMode (7, LWRS_HWHANDSHAKE_OFF); FlushInQ (7); FlushOutQ (7);// PromptPopup ("Event Charter", "Enter the Enevnt Character", gEventChar, 1

2014-08-24 15:02:07 19689 2

转载 ADC的INL和DNL

动态测试关注的是器件的传输和性能特征,即采样和重现时序变化信号的能力,相比之下,线性测试关注的则是器件内部电路的误差。对ADC误差,这些参数说明了静止的模拟信号转换成数字信号的情况,主要关注具体电平与相应数字代码之间的关系。测试ADC静态性能时,要考虑两个重要因素:第一,对于给定的模拟电压,一个具体数字代码并不能告诉多少有关器件的信息,它仅仅说明这个器件功能正常,要知道器件功能到底如何还必

2014-08-21 20:28:19 52492 2

原创 在keil开发stm32问题

1. 每次下载完成需就IDE就停止工作,解决办法:

2014-08-20 15:52:24 2026

原创 TortoiseGit+Github使用

要点:1. 分别下载TortoiseGit和

2014-05-22 12:57:11 787

原创 对华为的研究

---待续首先摘录介绍华为  1、《走出混沌》黄卫伟等著,人民邮电出版社,1998年出版。    2、《走出混沌》(修订版)黄卫伟、吴春波主编,人民邮电出版社,1999年。    3、《第一次握手》新员工培训大队编,中国青年出版社,1999年出版。    4、《华为真相》程东升、刘丽丽(记者)著,当代中国出版社,2003年出版。    5、《走出华为》汤圣平(华为前员工)著

2014-05-12 00:38:40 1071

原创 德鲁克之领导特质的五项主要习惯

1、善于利用有限的时间    他认为,时间是最稀有的资源,丝毫没有弹性,无法调节、无法贮存、无法替代。时间一去不复返,因而永远是最短缺的。而任何工作又都要耗费时间,因此,一个有效的管理者最显著的特点就在于珍惜并善于利用有限的时间。这包括三个步骤:记录自己的时间,管理自己的时间,集中自己的时间,减少非生产性工作所占用的时间。这是管理的有效性的基础。

2014-05-10 16:20:22 3526

原创 【Java语法与C语言的区别】一

【Java语法与C语言的区别】1. 标识符Java: 可以以美元作为开头例如:$var2. java没有sizeof 运算符,所有数据类型的长度都是固定的,与平台无关3. 常量定义方法final double PI=3.14;4. java数据类型 byte    1个字节         short,char   2个字节         int,float

2014-05-01 02:19:56 5042

转载 xilinx时序约束

在进行FPGA的设计时,经常会需要在综合、实现的阶段添加约束,以便能够控制综合、实现过程,使设计满足我们需要的运行速度、引脚位置等要求。通常的做法是设计编写约束文件并导入到综合实现工具,在进行FPGA/CPLD的综合、实现过程中指导逻辑的映射和布局布线。下面主要总结一下Xilinx FPGA时序约束设计和分析。    一、周期约束    周期约束是Xilinx FPGA 时序约束中最常

2014-04-28 16:56:04 10185

原创 ISE编译错误记录

1.在Translate过程中出现如下错误:"ERROR:ConstraintSystem:59 - Constraint: NET/INST "xxx" 未找到。Please verify that:The specified design element actually exists in the design.The specified object is spelled correctl

2014-02-21 15:00:04 18482

原创 fedora17 安装skyeye 1.3.5

(1)首先安装依赖包:yum install ncurses        还有以下依赖包ncursesncurses -develglibcglibc-devellibXpmlibXpm-develxtermxorg-x11-fonts-miscllvmpython-devellibiconv(2)安装完"xorg-x11-font

2013-12-11 03:52:20 2988

原创 fedora17下以root登陆

以普通用户登陆时,在进行一些文件操作时,经常会遇到权限不够的问题,fedora又禁止以root用户登陆,要以root登录按一下操作即可:(1)在终端里切换到root:   su -root然后输入密码(2)修改gdm-password文件gedit /etc/pam.d/gdm-password注释掉下面这句话(即在句首添加#):auth        required

2013-12-10 12:27:37 721

原创 fedora17的使用教程(待续)

【转】使用技巧     成功安装后,能够发现Fedora17的界面还是比较清爽的,整体UI个人感觉较Ubuntu要好。不过,刚装好的Fedora用起来并不是特别舒心,我们还需额外做些工作才行。1. 更新源     和Ubuntu一样,fedora中默认的官方源对我们国内的用户来说速度都很慢,所以最好用国内的源,像163源,sohu源,中科大源等。搜狐的源可以在 http://mir

2013-12-09 21:15:05 4103 1

原创 fedora下安装vmtools

首先参考下文:  一、安装VMtools点击VMware菜单的——虚拟机——安装VMware Tools,在弹出的对话框中选择“安装”。这时,在Ubuntu下会自动加载Linux版的VMware Tools的安装光盘镜像。你会看到虚拟机的桌面上出现了一个名为VMware Tools的光盘图标,并且被自动打开。其中包括VMwareTools-5.3.3-34685-i386.rpm和VMwa

2013-11-30 22:10:08 1145

原创 CRC7校验的Verilog实现

CRC校验代码:module CRC_7(BITVAL, Enable, CLK, RST, CRC); input BITVAL;// Next input bit input Enable; input CLK; // Current bit valid (Clock) input

2013-10-23 21:04:44 8309

原创 zedboard(zynq)怎么学,学习资料和推荐书籍

以下提供的资料,若未提供链接,直接百度搜索引号里的关键字即可 (1)“Zedboard 评测(一)"——Demo演示 :这篇资料可作为拿到zedboard板时候的动手体验资料,包括驱动软件安装,和板子上跳接帽的连接等,还可以(2)zedboard官方网站:www.zedboard.org 包括zedboard原理图和使用向导等相关资料;(3)"ZedBoard学习手记(一)"还有(

2013-10-12 21:32:34 28845 7

原创 zedboard(zynqXC7Z020)入门实验之PS_GPIO的使用(MIO)

开发环境:WIN7-64bit  ;   ISE 14.4;Zedboard开发板;    zynq里含有双核cortex-a9,那么如何使用arm自带的GPIO口而不通过AXI总线呢?(在做本实验之前请确认已成功做过zynq的HelloWorld程序,网上很多资料)做过HelloWorld程序之后,此实验可作为入门的第一个实验,控制程序如下#include #include

2013-10-11 21:36:33 6577

转载 常见的ARM嵌入式学习问答

常见的ARM嵌入式学习问答,设计者和学习者最关心的10个问题:1.          ARM嵌入式是学习硬件好还是学习软件好?2.          嵌入式软件和硬件,哪一种职位待遇更高?或者说,在设计中哪一个更重要?3.        学完51单片机后,想买ARM开发板继续学习,是买ARM7还是ARM9?4.        到底是学习哪种内核:ARM7、CORTEX-M3、COR

2013-10-06 22:03:39 1399

原创 小墨学算法

好好学一下算法,不解释在这里推荐一个比较好的博客资料:结构之法算法之道(博主July)http://blog.csdn.net/v_july_v/article/details/6543438程序员面试、算法研究、编程艺术、红黑树、数据挖掘5大经典原创系列集锦与总结

2013-05-02 23:25:09 1109

转载 orcad(Cadence)常用库olb介绍

ORCAD CAPTURE元件库介绍AMPLIFIER.OLB amplifier共182个零件,存放模拟放大器IC,如CA3280,TL027C,EL4093等。ARITHMETIC.OLB arithmetic共182个零件,存放逻辑运算IC,如TC4032B,74LS85等。ATOD.OLB共618个零件,存放A/D转换IC,如ADC0804,TC7109

2013-03-15 10:09:34 17845

原创 电脑每天第一次开机很慢很卡,4k对齐,西部数据

最近重装C盘后,每天第一次开机都很卡,重启又很正常很快,原因是4K没有对齐关于西数(WD)硬盘4K/扇区新技术 一张硬盘磁片,并不是所有的扇区都在用来存储数据,还有部分扇区是用来干些别的事的。传统的扇区分割机制中,每512byte的数据之间,需要间隔一个同步/分隔(Sync/DAM)区域和一个ECC错误校验区域。而在“先进格式”模式下,每4K为一个扇区,相当于把之前的8个扇区合而为一,

2013-02-17 14:56:46 12396

原创 Verilog HDL编程心得(持续记录)

1. 高速设计时可把输出直接指定为状态的某几位parameterIDLE=5'b0_000_0,START=5'b1_001_1;assign K2=state[4];assign K1=state[0];//K1,K2为输出,直接与每个状态高位和地位对应起来(在state增加两位,作为输出码) 2. 把状态的变化和输出开关的控制分开写,采用多个always,分别控

2012-12-08 10:55:58 2320

转载 QQ表情 双击之后显示gif (像是显示另外一幅图片)--双击有惊喜等

最近流行一种双击才能播放的GIF动画。例如下面这两张:在网页上展示不能达到最佳效果。导入QQ表情后,可以达到让人惊讶的效果。因为用户最先看到的,是带有文字的静态画面。QQ用户双击图片后,GIF动画播放。这种技巧可以把动画隐藏在一张静态的“封面”后面,用户不双击,就无法知道后边的GIF动画是什么内容:可能是美女脱掉衣服,也可能是吓人的女鬼,还可能是凤姐。众所周

2012-12-03 10:26:29 63844 5

原创 AUTOCAD2013激活方法-使用注册机生成激活码

微记录:右击注册机 以管理员身份运行 把申请号复制到注册机 点击Generate 在点击Patch 复制激活码进行激活就可以了

2012-11-18 20:27:18 6714

转载 S3C2440裸机实验之timer(定时器)

S3c2440 有5 个16 位的定时器。定时器0、1、2、3 有脉宽调制功能(PWM)。定时器4有一个没有输出引脚的内部定时器。定时器0 有一个用于大电流设备的死区生成器。 定时器0 和1 共享一个8 位的预分频器(预定标器),定时器2,3,4 共享另一个8 位预分频器.  定时器的时钟源为PCLK,首先经过预分频器降低频率后,进入第二个分频.可以生成5 种不同的分频信号

2012-10-21 01:19:58 1929

原创 S3C2440裸机实验之clock(时钟设置)

快要毕业了,毕业之前再重新把这些实验从头到尾的练习一遍,先开始裸机的吧,这些都是以前搞过的东西,现在应该会比较快。。             开始吧!           时钟对于电子设备来说都是非常重要的,它是传输数据的一个基准,如果没有这个基准的话将导致系统的混乱。          S3C2440的频率有两种输入方式:外部时钟源和内部晶振(如下图)

2012-10-21 00:43:55 1977

转载 mdk+2440

目前仍然有许多人在使用ADS1.2编译ARM9的程序,这款编译器实属经典,但是已经多年停止更新、维护了。这篇文章主要讲解ARM公司受够Keil之后力推的一款编译器MDK。MDK的使用上和ADS1.2有很多相似之处,从ADS1.2过渡到MDK也是非常容易的一种事情。还是无图无真相。这是MDK打开的情形,当然我这已经是一个建立好的工程。如果一开始是空的,按图点击pr

2012-10-17 23:46:54 2519

转载 Quartus II中FPGA管脚的分配及保存方法

一、摘要  将Quartus II中FPGA管脚的分配及保存方法做一个汇总。 二、管脚分配方法  FPGA 的管脚分配,除了在QII软件中,选择“Assignments ->Pin”标签(或者点击按钮) ,打开Pin Planner,分配管脚外,还有以下2种方法。方法一:Import Assignments步骤1:  使用记事本或类似软件新建

2012-10-07 18:58:32 24456 1

原创 arm9(s3c2440)jlink烧写uboot

笔者开发环境:操作系统 win7,开发板GT2440,电脑没有串口,用的u转串口(本文简要摘录,并整理相关资源)1.连接pc和jlink和开发板2.安装jlink驱动,配置jlink3.开发板打到nor flash启动方式,启动开发板ARM学习笔记之Jlink烧写Uboot:下载jlink驱动JLINK-V7安装包。解压后找到驱动安装。双击默认安装安装完后在桌面会出

2012-10-07 04:42:22 6959 1

原创 C#数据库编程1--SQL server2008 连接

1.新建数据库等步骤见数据库教程http://download.csdn.net/detail/tianhen791/4582335注意如果已经安装VS2010  VS2010自带的SQL Server是Express简化版的,所以运行下载好的SQLEXPRADV_x64_CHS.exe,在“SQL Server安装中心>维护>版本升级”中,把它升级成“具有高级服务的Exp

2012-09-20 12:43:05 3513

转载 FIFO使用方法(ALTERA)

本文引用自Suinchang《关于ALTERA提供的FIFO核使用原理》ALTERA提供了LPM_FIFO参数宏模块,可以在代码中例化使用。FIFO有两种工作模式:(1)SCFIFO,(2)DCFIFO其中SCFIFO指读写用一个时钟进行同步,可以支持同时读写的功能。其中DCFIFO指读写使用不同的时钟进行同步,这在设计多时钟系统中相当有用,可用于不同时

2012-08-23 16:11:31 15108

转载 如何查找和阅读外文文献

http://www.doc88.com/p-085655838655.html

2012-07-15 01:57:08 1622

转载 打工是最愚蠢的投资[李嘉诚深圳演讲]

----打工是最愚蠢的投资-----很多人会认为打工是在赚钱。其实打工才是最大最愚蠢的投资。人生最宝贵的是什么?除了我们的青春还有什么更宝贵?! 很多人都抱怨穷,抱怨没钱想做生意又找不到资金。多么的可笑!其实你自己就是一座金山(无形资产),只是你不敢承认。宁可埋没也不敢利用。宁可委委屈屈地帮人打工,把你的资产双手拱让给了你的老板。我们试想一下,有谁生下来上天就会送给他一大堆金钱的?有谁

2012-06-06 16:44:36 2705

转载 电子工程师必备网站(收藏)

以下是一位高级电子工程师归纳总结的60个电子行业技术网站,电子工程师必备网站.资料(PDF芯片)查询类网站:IC/PDF查询http://www.21icsearch.com电子元器件查询http://www.chinadz.com/IC/PDF查询http://www.ic37.com/器件手册http://www.datasheet5.com/电子技术文章资源下载类

2012-05-31 22:17:06 1462

原创 VC小菜之给软件添加背景音乐

1.新建DialogBase对话框工程项目PlayWave;2.在CPlayWaveDlg.h 中添加#include 3.添加链接库:在ProjectSetting(工程--设置) 对话框中选择Link(连接)选项卡,Category(类别)选择Input(输入),在Object modules 输入 "Winmm.lib"4.导入声音文件:在资源里面导入声音文件5.

2012-05-19 02:20:11 1360

转载 如何接项目(如软件项目)

随着信息化的逐步深入,无论是企业、政府还是个人,对软件的依赖已经到了必不可少的地步。现在有越来越多的人认识到了软件在日常经营管理中的重要作用,因此外包的软件项目也在不断增长。但随之而来的,承接外包的软件公司、软件团队也越来越多,包括很多个人SOHO一族也加入到承接软件的竞争行列中来了,因此现在对于软件项目的争夺也很激烈。还有很多人甚至不知道上哪里去争取项目,总是抱怨没有项目做;也有的人虽然编程技术

2012-05-17 00:19:41 5758 1

转载 nand flash 与nor flash区别

1.Nor的成本相对高,容量相对小,比如常见的只有128KB,256KB,1MB,2MB等等,优点是读写数据时候,不容易出错。所以在应用领域方面,Nor Flash比较适合应用于存储少量的代码。 2.Nand flash成本相对低,说白了就是便宜,缺点是使用中数据读写容易出错,所以一般都需要有对应的软件或者硬件的数据校验算法,统称为ECC。但优点是,相对来说容量比较大,现在常见的Na

2012-05-10 22:10:56 1249

Kalman_Filtering_-_Theory_and_Practice_using_MATLAB_3rd_Edition

包含书籍PDF和源码

2016-11-22

JLinkARM.dll

使用方法http://blog.csdn.net/tianhen791/article/details/38707379

2014-08-20

jlink烧写s3c2440 norflash

D版JLINKV8在友善MINI2440(GT2440)上用JFLASH烧写NORFLASH

2012-10-17

jlink烧写uboot配置文件

jlink烧写uboot配置文件,这样就不用手工一个一个输入了

2012-10-07

ARM学习之Jlink烧写Uboot

ARM学习之Jlink烧写Uboot,从驱动的安装到烧写非常详细

2012-10-07

VS2010与sql_server2008安装图解

VS2010与sql_server2008安装图解 非常详细

2012-09-20

ads1148程序

ads1148程序 采用SPI时序控制,希望能对大家有帮助

2012-05-11

nios ii 流水灯(quartus ii 11.0,QSYS,nios ii 11.0)源程序

nios ii 流水灯(quartus ii 11.0,QSYS,nios ii 11.0)源程序,程序由本人亲自编写,并下载至开发板验证流水灯成功。

2012-04-26

mschrt20.ocx

mschrt20.ocx,控件,放到c盘windows 目录system32下运行regsvr32 mschrt20.ocx

2012-04-05

ucos移植到ARM9(S3C2410)

ucos移植到ARM9(S3C2410) 详细介绍移植的过程和需要修改文件及相关原理

2012-02-05

usboot-v1.70.rar

usboot-v1.70.rar 一款不错的软件,很小很方便

2012-01-29

java实验指导:

学编程只看书是不行的,动手实践才能成长,这里提供了15个实验,一个一个的动手做,java入门不再难

2012-01-19

vc的txt文件的读写操作

vc的txt文件的读写操作 很不错的,赞一个

2012-01-18

mscomm32(串口通信控件mscomm)

mscomm32(串口通信控件mscomm)

2012-01-16

QT教程 QT经典中文教程

关于Qt Qt的版本 常见问题解答 Window系统特性注释 如何购买Qt 安装 如何学习Qt 教程一, 教程二 实例 循序渐进实例 白皮书 Qt 3.0的关键特征 修改历史 从Qt 2.x移植到Qt 3.x 简体中文汉化日志 Qt季刊 API参考 模块 概述 所有的类 主要的类 分组的类 注释的类 继承关系 类表(图像) 所有的函数(很长) 头文件索引 PDF格式文档 man帮助格式文档 关于模块 画布 图标视图 网络 OpenGL SQL 表格 工作区 XML 概述与HOWTO Qt对象模型 信号与槽 窗口几何结构 事件和事件过滤器 国际化(i18n) 调试技术 Qt中的线程支持 Qt插件 窗口部件快照 Trolltech 工具 附录 关于Trolltech公司 如何报告bug 邮件列表信息 所有的工具 Qt设计器 Qt语言学家 Qt助手 qmake 标准快捷键 Q公共许可证 GNU通用公共许可证 Qt中使用的其它许可证 鸣谢

2011-03-18

最优化软件lingo软件教程下载

lingo最给力教程,感觉讲得很详细,很不错,而且例子很多

2011-01-26

摄像头全屏软件VP-Eye

摄像头全屏显示软件 电脑自带的软件摄像头不能全屏显示,用VP-Eye双击屏幕即可全屏显示

2011-01-08

visual c++游戏编程入门例程

visual c++游戏编程入门例程 挺适合新手学习

2010-12-14

LabVIEW+实验教材

LabVIEW+实验教材 鼎力推荐,很好的学习资料

2010-12-11

ULN2003A中文资料

ULN2003A中文资料 很详细,花积分下的,方面没有积分的朋友用下

2010-12-11

子vi创建方法labview

详细介绍了 子vi创建方法 是一个图文教程,非常清晰~

2010-12-10

labview实验指导书 快速学习教程

实验1 LabVIEW编程环境与基本操作实验 实验2 Labview编程结构实验 实验3 LabVIEW图形、数组、簇编程实验 实验4 字符串、文件I/O和属性节点编程实验 实验5 波形测量实验 实验6 虚拟示波器的设计 实验7 基于LabVIEW的温度数据采集系统

2010-12-10

S3C2440的启动代码分析

S3C2440的启动代码分析 详细介绍了 硬件启动程序

2010-11-27

lpc2131工程模板

lpc2131工程模板 已经配置好的系统初始化 方便开发

2010-11-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除