自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(224)
  • 资源 (38)
  • 收藏
  • 关注

原创 MySQL:表结构设计、char 和 varchar、索引数据结构、事务隔离级别、分表设计

首先,

2024-03-14 17:41:44 1014

原创 Redis:持久化、线程模型、大 key

Redis 的读写操作都是在内存中,所以 Redis 性能才会高,但是当 Redis 重启后,内存中的数据就会丢失,那为了保证内存中的数据不会丢失,Redis 实现了数据持久化的机制,这个机制会把数据存储到磁盘,这样在 Redis 重启就能够从磁盘中恢复原有的数据。

2024-03-14 16:18:34 936

原创 5GC 网元AMF、SMF、AUSF、UPF、PCF、UDM、NRF、NSSF、NEF介绍

The Network Slice Selection Function,网络切片选择,根据UE的切片选择辅助信息、签约信息等确定UE允许接入的网络切片实例。The Unified Data Management,统一数据管理功能,3GPP AKA认证、用户识别、访问授权、注册、移动、订阅、短信管理等。NF Repository Function, 该功能是一个提供注册和发现功能的新功能,可以使网络功能(NF)相互发现并通过API接口进行通信。类似于4G中MME、SGW、PGW会话管理等控制面的功能。

2024-02-21 15:55:21 1041

原创 上云04 | 消息、缓存中间件

Kafka是作为新一代的消息系统,redis也可以发布订阅了,那么有何异同?kafka原本设计的初衷是日志统计分析,现在基于大数据的背景下也可以做运营数据的分析统计,而redis的主要场景是内存数据库,作为消息队列来说可靠性太差,而且速度太依赖网络IO,在服务器本机上的速度较快,且容易出现数据堆积的问题,在比较轻量的场合下能够适用。

2024-02-20 12:33:31 771

原创 上云02 | springcloud和springboot区别和联系、ELK

SpringCloud不是重复制造轮子,而是将目前各家公司开发的比较成熟的服务框架组合起来,通过SpringBoot风格进行再封装,屏蔽掉复杂的配置和实现原理,最终给开发者留出了一套简单易懂、易部署和易维护的分布式系统开发工具包,即默认大于配置;SpringBoot是一个快速开发的轻量级框架,帮助快速整合第三方常用框架,完全采用注解化(使用注解启动SpringMVC),简化XML配置,内置HTTP服务器(Tomcat、Jetty)。④ Turbine是集群收集器,服务于Dashboard的。

2024-02-06 10:14:20 915

原创 上云03 | 数据库事务

文章目录MySQL线程池禁用存储过程、函数、触发器、视图SQL执行原理执行步骤执行的底层原理详细执行步骤分析**Step 1:获取数据 (From, Join)****Step 2:过滤数据 (Where)****Step 3:分组 (Group by)****Step 4:分组过滤 (Having)****Step 5:返回查询字段 (Select)****Step 6:排序与分页 (Order by & Limit / Offset)**大事务什么是大事务大事务一般会对数据库造成什么问题?如何解

2024-02-05 17:49:36 890

原创 MySQL数据库 | 事务中的一些问题(重点)

数据库中的事务是指对数据库执行一批操作,在同一个事务当中,这些操作最终要么全部执行成功,要么全部失败,不会存在部分成功的情况。事务是一个原子操作。是一个最小执行单元。可以甶一个或多个SQL语句组成在同一个事务当中,所有的SQL语句都成功执行时,整 个事务成功,有一个SQL语句执行失败,整个事务都执行失败。举个例子:从A账户扣100给B账户加100操作成功:A账户减少100;B账户增加100操作失败:A、B两个账户都没有发生变化。

2024-01-22 11:51:01 847

原创 上云01 | 分布式、微服务概念

分布式系统一定是由多个节点组成的系统。其中,节点指的是计算机服务器,而且这些节点一般不是孤立的,而是互通的。这些连通的节点上部署了我们的节点,并且相互的操作会有协同。分布式系统对于用户而言,他们面对的就是一个服务器,提供用户需要的服务而已,而实际上这些服务是通过背后的众多服务器组成的一个分布式系统,因此分布式系统看起来像是一个超级计算机一样。所谓分布式系统,是指一个完整的应用系统被拆分后,分别部署到不同的网络节点中,这样的系统往往是一些大型的系统。这种做法的好处是,可以提高系统的运算能力。

2024-01-17 18:44:13 1238

原创 [OpenAirInterface-01]什么是OAI?OAI在github中源代码的存放结构

软件定义的无线电(Software Defined Radio,SDR) 是一种无线电广播通信技术,它基于软件定义的无线通信协议而非通过硬连线实现。频带、空中接口协议和功能可通过软件下载和更新来升级,而不用完全更换硬件。OpenAirInterface是软件无线电SDR的一种实现。OpenAirInterface是一种开放软件,汇集了来自世界各地的开发人员,他们共同构建无线蜂窝接入网络(RAN)和核心网络(CN)技术.

2023-11-20 12:22:50 401

原创 MySQL数据库 | MySQL单表过大、主从模式、同步模式优化原理

在中国互联网技术圈流传着这么一个说法:MySQL 单表数据量大于 2000 万行,性能会明显下降。事实上,这个传闻据说最早起源于百度。具体情况大概是这样的,当年的 DBA 测试 MySQL性能时发现,当单表的量在 2000 万行量级的时候,SQL 操作的性能急剧下降,因此,结论由此而来。然后又据说百度的工程师流动到业界的其它公司,随之也带去了这个信息,所以,就在业界流传开这么一个说法。再后来,阿里巴巴《Java 开发手册》提出单表行数超过 500 万行或者单表容量超过 2GB,才推荐进行分库分表。

2023-11-09 14:19:25 274

原创 Docker Desktop 和 WSL2 位置迁移

WSL2 默认安装在 C 盘,我们可以通过以下步骤迁移安装位置可以看到已安装了 Ubuntu-22.04,其运行状态为:Stopped导出成功后,可以在导出的路径下看到导出的 tar 文件:然后注销并卸载 Ubuntu-22.04可以看到 Ubuntu-22.04 已经注销并卸载了可以看到 Ubuntu-22.04 已成功导入到新的位置以上步骤完成后,看到指定安装的新位置下有 .vhdx 文件:说明迁移成功。

2023-11-09 14:11:34 509

原创 Kafka(Windows)安装配置启动(常见错误扫雷)教程

下载到本地后,将文件解压到 D:\kafka_2.11-2.4.0,该文件夹包括了所有相关的运行文件及配置文件,其子文件夹bin\windows 下放的是在Windows系统启动zookeeper和kafka的可执行文件,子文件夹config下放的是zookeeper和kafka的配置文件。注意下载完之后,安装kafka的目录,是不是目录下存在空格了, 例如,我放在了 C:\Program Files ,这里面就存在了空格!下载的是否是正确的kafka安装包,千万别下载成源码了。

2023-03-28 10:33:23 5162 3

原创 idea | maven配置(maven换源)

首先,先到官网去下载maven。这里是官网的地址:http://maven.apache.org/download.cgi 请选择最新的版本下载:解压。

2023-03-22 09:30:36 3508

原创 Java | MySQL5.7安装(msi)配置、Navicat Premium 16使用、Redis安装

第一次安装MySQL,默认安装路径通常是“C:\ProgramFiles\MySQL\MySQL Server 8.0”,默认数据存储路径是“C:\ProgramData\MySQL\MySQL Server 8.0”(ProgramData是个隐藏文件夹),如果安装过程配置过,则按照实际MySQL\MySQL Server 8.0的路径。创建的每一个数据库对应到磁盘上都是一个文件夹。在黑框中输入,mysql -uroot -p1234,回车,出现下图且左下角为mysql>,则登录成功。

2023-03-20 10:40:52 1477

原创 使用VSCode实现Java项目管理 Maven相关插件及配置(Maven换源)

之前一直使用VSCode开发C、Go两种语言,现在需要对java进行学习,面对java的idea工具相对陌生,依旧想继续使用vscode作为开发工具,因此有了本篇文章。

2023-03-15 16:52:47 26559 3

原创 Go语言 | 协程池的应用(可能是全网最适合小白的教程)

为什么说这是全网最适合小白的呢?因为我就是一个第一次写多线程,使用协程池的小白,自己明白这里面的入门不易,特此分享给大家。

2023-01-17 11:39:32 1221

原创 微软AutoMate、Postman Flow低代码编排如何颠覆未来IT服务

低代码正在逐步证明,开发不再是一个高门槛,只能由程序员才能进行的工作。低代码的出现,让产品、经理、销售,只要是能准确分析出业务需求和流程的人员,都能通过简单学习,快速使用低代码平台进行服务的搭建。

2023-01-17 10:42:39 937

原创 Postman Flow低代码体验说明,解析常用Block使用

本人使用的版本:Version 10.1.1流程工具模块类型【Block】 其中包含很多分支 ,循环请求等工具 如图块与块之间通过链接线链接。

2022-11-08 16:46:14 780

原创 GO语言 | go work 神一般的管理 多个module没烦恼

最近手上有个活,需要自己本地包之间进行依赖具体描述如下:设计了如下目录结构,其中关注postman部分,要求核心程序放在internal文件目录,cmd目录存放一个cmd版本的main,wasm目录存放一个wasm版本的main,但cmd和wasm的main都依赖于internal目录下的程序实现。有了目标,原理上很简单,找到办法实现cmd可以导入internal包的方法就可以了。

2022-09-02 22:52:18 15189

原创 Linux postman脚本运行环境配置

本文主要介绍postman生成的脚本文件在Linux运行所需环境配置,不涉及postman软件安装和使用。

2022-08-15 14:37:52 1653

原创 Go语言 | 05 Template学习

是Go语言标准库,实现数据驱动模板以生成文本输出,可以理解为一组文字按照特定格式动态嵌入另一组文字中。还有个处理html文字的模板(),html/template包实现了数据驱动的模板,用于生成可对抗代码注入的安全HTML输出。它提供了和包相同的接口,Go语言中输出HTML的场景都应使用包。简单区分了两个长得几乎一模一样的包之后,本章节主要开始对包的介绍。{{.}}模板语法都包含在{{和}}中间,其中{{.}}中的点表示当前对象。表示:如果x为真,返回y,否则返回x。...

2022-08-03 15:05:19 1505

原创 VSCode开启Pull Request更新代码分支可视化新篇章

作为一名有节操的程序员,当然不满足全部的命令操作,缺少了科技的味道既然我们有VSCode这种神级工具,既然也要用得上当然下面的操作如果发现不对劲,可以参照文章里面有详细的命令行代码操作。............

2022-07-22 23:01:27 5686

原创 GitHub配置账号Pull Request更新代码分支

项目需要,安排在GitHub仓库更新代码分支在Windows环境下,通过git软件进行实现PR的全称是PullRequest,经常用Github的同学对这个肯定很熟悉了。Github聚集了4000万开发者,过亿的开源项目,如果想给别人的开源仓库贡献代码,通常是先fork别人的项目,然后本地修改完成提交到自己的个人fork仓库,最后提交PR等待别人合入你的代码。Github的工作流fork工作流。............

2022-07-20 16:40:38 1099

原创 html/template 和 text/template区别

最近在学习go template,跟着一篇文章进行例程的学习,结果发现无论怎么调试,都没有办法复现例程的打印结果纠结了一天后,求助同事发现了其中的端倪 是将内容都已text文本格式返回。针对的是需要返回HTML内容的场景。在模板渲染过程中会对一些有风险的内容进行转义,以此来防范跨站脚本攻击。例程中给出的代码如下理论打印结果应该是:但是可以看到我们跑程序后打印结果如下:出现了很大的不一致,这时,我百思不得起解,无论怎么样单步调试,修改输出,都没有办法得到例程输出最后在同事的提醒下,查看函数的源码,直

2022-07-13 10:48:38 466

原创 Go语言 | 03 数组、指针、切片用法

Go 语言提供了数组类型的数据结构。数组是具有相同唯一类型的一组已编号且长度固定的数据项序列,这种类型可以是任意的原始类型例如整型、字符串或者自定义类型。相对于去声明 number0, number1, …, number99 的变量,使用数组形式 numbers[0], numbers[1] …, numbers[99] 更加方便且易于扩展。数组元素可以通过索引(位置)来读取(或者修改),索引从 0 开始,第一个元素索引为 0,第二个索引为 1,以此类推。Go 语言数组声明需要指定元素类型及元素个数,语法

2022-06-30 16:32:03 465

原创 Go语言 | 02 for循环及常用函数的使用

本以为Go语言和C语言相似度较高,但是在for循环的学习中,还是感觉有很多的不同, 因此做个学习记录

2022-06-30 13:18:47 972

原创 Go语言 | 01 WSL + VSCode环境搭建避坑指南

因为工作原因,需要使用Go语言进行开发,作为一个嵌入式开发人员,兵来将挡水来土掩Go 语言被设计成一门应用于搭载 Web 服务器,存储集群或类似用途的巨型中央服务器的系统编程语言。对于高性能分布式系统领域而言,Go 语言无疑比大多数其它语言有着更高的开发效率。它提供了海量并行的支持,这对于游戏服务端的开发而言是再好不过了。https://studygolang.com/dl开发环境为WSL,所以直接选择Linux版本下载下载后找个位置解压文件执行解压指令把解压后的go文件夹复制到home目录下,也可以

2022-06-29 16:40:04 2512

原创 VS Code安装PlatformIO疯狂踩坑记 Arduino环境配置

文章目录前言前言起因是要搞一个稚晖君的HoloCublic,中间涉及到Arduino单片机的软件开发,所以需要建立一下开发环境之前STM32、嵌入式Linux都一直在用VS

2022-05-15 17:57:22 7858 5

原创 我的嵌入式软硬件学习(三)

研究生和本科还是有着非常大的差距,本科大家可以浑浑噩噩,可以去专心考试,也可以去专心水竞赛,更可以专心去混社团学生会,参加各种文化活动并且这些也都是本科阶段大家所认可的,有一两项突出就可以在本科有滋有味,拿奖学金,拿优学优干可是到了研究生,之前可能引以为傲的都开始变得不重要,研究生的衡量标准只有学术能力、实践能力,也就体现在写论文和做实验不仅衡量标准发生了变化,环境也发生了变化,研究生大家即使跟着同一个老师,研究方向也会不同,这就导致了研究生狭小的圈子变得更小,社交关系开始局限于宿舍和同门师兄弟.

2022-05-15 17:01:06 861

原创 【ZYNQ】从入门到秃头11 DAC FIFO实验(AXI-stream FIFO IP核配置)

文章目录实验任务例化模块CLKAXI-Stream-Data FIFODDS实验任务DAC FIFO实验基于“DDS IP 数字波形合成DAC ” “ ADDA测试” 实验方案用MMCM 把 合成出100MHz的时钟,让DDS工作在100MHz时钟让DAC和DAC的接口电路工作在50MHz,此时DAC的采样率为50MHz在DDS和DAC接口电路之间,放置一个带独立时钟的AXI-Stream-Data FIFO,FIFO两端的时钟分别为DDS的工作时钟100MHz和DAC的工作时钟50MHz生成

2022-03-27 17:23:41 6074 3

原创 【ZYNQ】从入门到秃头10 DDS增强版实验ADDA测试(基于ALINX 7020 && AN108)

前言首先要对ADDA的相关实验进行学习和分析,考虑到黑金和正点原子的两套阵营,结合二者的优点,特意整理了这么一篇从零开始的ADDA实验文章硬件平台基于ALINX 7020 && AN108,也是ZYNQ入门的组合套餐【ZYNQ】从入门到秃头09 DDS IP 数字波形合成(基于ALINX 7020 && AN108)上述文章在ALINX的板子上实现了正点原子教程中的代码,主要就是IO约束的改动,完成了一些基本的功能,对于一些实践中常用的功能和属性,在本文的番外中进行详

2022-03-13 09:55:28 1762 2

原创 【ZYNQ】从入门到秃头09 DDS IP 数字波形合成(基于ALINX 7020 && AN108)

文章目录简介DDS Direct Digital Synthesizer)即直接数字式频率合成器,是一种新型的频率合成技术。 与传统的频率合成器相比, DDS具有相对带宽大,频率转换时间短,稳定性好,分辨率高,可灵活产生多种信号等优点。较容易实现频率、相位及幅度的数控调制因此,在现代电子系统及设备的频率源设计中,尤其在通信领域,直接数字频率合成器的应用越来越广泛。作为设计人员,我们习惯称它为信号发生器,一般用它产生正弦、锯齿、方波等不同波形或不同频率的信号波形,在电子设计和测试中得到广泛应用。简介D

2022-03-01 11:11:16 5246

原创 2022小米红米手机最新最全MIUI刷机教程内测版到稳定版 不清除数据(线刷、卡刷)

起因是因为意外升级了一版内测版miui本来我是k40的开发版用户,2021年底小米公布了miui13,直接把我们开发版用户变成了内测版因为我不想每天都更新的频率,所以退出了内测版,想着还是用我的开发版操蛋的事情发生了发现miui13更改了更新策略导致内测版和开发版不再互通,也就是说无法再回到开发版因此对小米的这种强制更新策略感到深深的被刺这波小米的更新策略应该是,内测版,享受最新的功能,开发版,不再是内测版的合集,而是稳定版的测试版本现在准备直接回到我的稳定版,不再折腾。

2022-01-18 15:52:03 41591 14

原创 【ZYNQ】从入门到秃头08 FPGA片内异步FIFO读写测试实验

文章目录实验原理硬件设计添加FIFO IP核FIFO的端口定义与时序添加PLL IP核添加ILA IP核FIFO测试程序编写Verilogtestbeach结果分析代码分析仿真分析板上验证FIFO是 FPGA 应用当中非常重要的模块,广泛用于数据的缓存,跨时钟域数据处理等。学好 FIFO 是 FPGA 的关键,灵活运用好 FIFO 是一个 FPGA 工程师必备的技能。本章主要介绍利用XILINX 提供的 FIFO IP 进行读写测试。实验原理FIFO: First in, First out代表先进的

2021-12-28 10:31:57 1948 1

原创 【ZYNQ】从入门到秃头07 FPGA 片内 RAM && ROM 读写测试实验

文章目录FPGA 片内RAM读写测试实验实验原理创建Vivado工程RAM的端口定义和时序测试程序编写VerilogIO约束Testbeachsimulation仿真板上验证添加ILA IP核生成bitstreamFPGA 片内 ROM 读写测试实验创建ROM初始化文件添加ROM IP核ROM测试程序编写绑定引脚testbeach仿真FPGA 片内RAM读写测试实验实验原理Xilinx在 VIVADO 里为我们已经提供了 RAM 的 IP 核 , 我们 只需 通过 IP 核例化一个 R A M 根据

2021-12-23 10:28:48 3381

原创 【ZYNQ】从入门到秃头06 Vivado下的IP核MMC/PLL实验

文章目录实验原理创建Vivado工程仿真板上验证生成其他PLL信号很多初学者看到板上只有一个50Mhz 时钟输入的时候都产生疑惑,时钟怎么才 50Mhz ?如果要工作在 100Mhz 、 150Mhz 怎么办?其实在很多 FPGA 芯片内部都集成了 PLL ,其他厂商可能不叫 PLL ,但是也有类似的功能模块,通过 PLL 可以倍频分频,产生其他很多时钟。本实验通过调用 PLL IP core 来学习 PLL 的使用、 vivado 的 IP core 使用方法。实验原理PLL(phase lock

2021-12-21 16:34:02 2493 1

原创 【ZYNQ】从入门到秃头[番外] Vivado VSCode现代化编辑工具配置

文章目录第一步:打开Vivado的设置第二步:选择"Text Editor"第三步:填写"编辑器的路径第一步:打开Vivado的设置第二步:选择"Text Editor"第三步:填写"编辑器的路径填写"编辑器的路径 -g [file name]:[line number]",完成后点击"OK"填写的内容示例:C:\My_Programs\VSCode\Microsoft VS Code\Code.exe -g [file name]:[line number]到此为止,设置已经结束。双击

2021-12-20 17:41:38 2881 1

原创 【ZYNQ】从入门到秃头05 LED闪烁实验 && 按键控制LED实验Verilog(PL)

文章目录硬件设计程序设计创建Verilog HDL文件编写Verilog添加管脚约束添加时序约束生成BIT文件Vivado仿真下载LED灯闪烁作为一个经典 的入门实验, 其 地位堪比 编程界的“ Hello World!!”。对于ZYNQ依然不例外LED,又 名 发光 二极管 。 LED灯工作电流很小(有的仅零点几毫安即可发光) 抗冲击和抗震性能好,可靠性高,寿命长 。由于 这些优点, LED灯 被 广泛用 在 仪器 仪表中作指示灯 、 液晶屏背光源 等 诸多领域 。发光二极管与普通二极管一样具有单向

2021-12-20 17:17:34 4573 2

原创 【ZYNQ】从入门到秃头04 Verilog HDL语法

文章目录引言Verilog 和 VHDL 区别Verilog和 C的区别Verilog基础知识Verilog的标识符Verilog的数字进制格式Verilog的数据类型1) 寄存器类型2) 线网类型3) 参数类型Verilog的运算符1) 算术运算符2) 关系 运算符3) 逻辑 运算符4) 条件 运算符5) 位 运算符6) 移位 运算符7) 拼接 运算符8) 运算符 的优先级关键字程序框架Verilog高级知识点阻塞赋值( Blocking)非阻塞赋值( Non-Blocking)阻塞和非阻塞应用场景ass

2021-12-15 17:37:26 2055 1

原创 【ZYNQ】从入门到秃头03 Vivado软件的现代化仿真、调试工具

文章目录硬件调试简介ILAVIOHDL实例化调试探针流程Hardware Manager中观察调试信号网表插入调试探针流程添加“Make Debug”属性Run Synthesis“ Netlist”子窗口、 Schematic”子窗口以及 Debug”子窗口(1)在综合后的网表中手动为信号添加 mark_debug属性(2 )HDL代码中已经具有 mark_debug属性的信号“Setup Debug”向导XDC约束文件在 Vivado中进行功能仿真硬件调试简介在整个FPGA设计中,硬件调试与验证会花

2021-12-15 12:22:55 4360

Linux嵌入式飞凌开发板GPIO驱动模块modules_gpio_test

配套教程https://blog.csdn.net/szm1234/article/details/113586118

2021-02-08

Linux嵌入式应用层和内核层数据传输modules_file_operations

配套教程https://blog.csdn.net/szm1234/article/details/113487063

2021-02-08

Linux嵌入式杂项设备驱动模块modules_misc

配套教程https://blog.csdn.net/szm1234/article/details/113419471

2021-02-08

Linux嵌入式驱动模块modules_helloworld

自己编写测试,教程详见文章https://blog.csdn.net/szm1234/article/details/112601700

2021-02-08

QT编写的定时器Time

绝对好使

2021-02-08

QT编写的UDP上位机

绝对好使,配合教程https://blog.csdn.net/szm1234/article/details/112384152

2021-02-08

QT编写的TCP服务器

绝对好使,配合教程https://blog.csdn.net/szm1234/article/details/112384152

2021-02-08

QT编写的TCP客户端上位机

绝对好使,配合教程https://blog.csdn.net/szm1234/article/details/112384152

2021-02-08

12稳压5V MP2359芯片手册(官方英文)

对于芯片的使用,还是要依据官方的数据手册,不仅数据手册提供了经典范例,还有详细的PCB参考设计,实现了12V-5V的降压操作。

2020-11-16

STM32F407 HAL库实现EEPROM功能(实战项目中使用)

STM32F407 HAL库实现EEPROM功能(实战项目中使用),CubeMX生成初始化函数之后,对于EEPROM的实现,还是需要修改很多内容,从IIC到EEPROM服务函数,都打包在了资料中

2020-11-16

STM32F407 HAL库添加标准库中的delay函数

STM32F407 HAL库添加标准库中的delay函数,HAL库中只有HAL_Delay()函数实现延时,单位是ms,要实现us延时,不像标准库中delay_us()就可以了,所以增加了这个函数,来方便标准库转移到HAL库中的朋友使用习惯。

2020-11-16

STM32F407 EC11旋转编码器驱动函数

STM32F407 EC11旋转编码器驱动函数,里面写了好多个版本,可以根据需要使用,这个只是实现功能,实际要用,一般都在中断中,按照思路改到中断就可以了。

2020-11-16

AD8361芯片手册.pdf

官方的英文芯片手册,尽量还是看英文吧,虽然费劲。这个芯片针对射频功率的检测还是挺靠谱的,芯片手册主要也是内部结构还有参数等介绍。

2020-11-16

I.MX6Q英文参考手册(NXP官方)

i.mx6开发必备,NXP官网下载还得注册什么的,需要的还是去NXP官网直接下载吧,要是嫌麻烦,直接下载这个也一样。

2020-11-16

udp_echoserver DP83848网络服务函数库

udp_echoserver DP83848网络服务函数库,配合教程完美实现数据的收发,测试平台STM32F407,其他同理

2020-09-01

神经网络九步学习法(真正的深入浅出)

这个神经网络九步学习法是微软亚洲研究院推荐的,从最简单的讲起,彻底搞明白神经网络到底是怎么一会事儿,吃透了这个,后面的所有都是万变不离其宗

2020-08-02

魔笛stm32网络收音机.rar

基于STM32的网络收音机,附说明书,网络音频的实时传输的实现,感兴趣的欢迎一起交流研究,现在怎么还非得五十个字才行,还得凑字数,越来越麻烦了

2020-07-26

STM32超级好用的LM75库函数

这里需要LM75芯片A0 A1 A2全部接地才能用,不然需要更改I2C的地址,STM32下效果非常好

2020-06-13

绝对简单好用的迪文串口屏串口通讯协议库(STM32)

STM32环境下,绝对简单好用的迪文串口屏串口通讯协议库,这个我已经实际用了,实测DMT48270C043_04WN可以完美应用

2020-06-13

PIO_ESP32离线包

PIO_ESP32离线包 VS Code安装platformIO疯狂踩坑记 Arduino环境配置 https://blog.csdn.net/szm1234/article/details/124784452

2022-05-15

13.带FIFO的ADDA实验

配套文章 https://blog.csdn.net/szm1234/article/details/123454871?spm=1001.2014.3001.5501 本实验在DAC FIFO实验的基础上完成 把DAC输出模拟信号自环给ADC的模拟输入 ADC使用25MHz的时钟信号采样 ADC的输出的数据信号,用ILA抓取观察波形 用VIO配置频率字,分别生成1MHz和3MHz的DDS正弦波形,用Matlab分析频谱,验证频率的正确性。

2022-04-03

12.DAC FIFO实验

基于“DDS IP 数字波形合成DAC ” “ ADDA测试” 实验方案 用MMCM 把 合成出100MHz的时钟,让DDS工作在100MHz时钟 让DAC和DAC的接口电路工作在50MHz,此时DAC的采样率为50MHz 在DDS和DAC接口电路之间,放置一个带独立时钟的AXI-Stream-Data FIFO,FIFO两端的时钟分别为DDS的工作时钟100MHz和DAC的工作时钟50MHz DDS的数据输出接口需要有TREADY信号 DAC接口电路需要将FIFO输出端的AXI-S接口转换成DAC的接口格式,自行编写RTL代码完成该功能。 配套文章 https://blog.csdn.net/szm1234/article/details/123454871?spm=1001.2014.3001.5501

2022-04-03

11.DDS ADDA测试工程文件

注意,AN108是34针的插头,注意其插装位置,1脚和zynq底板对齐,不要插错 黑金AN108的低通滤波器通带为0-20MHz左右 基于“DDS IP 数字波形合成DAC ” 实验方案,使用50MHz时钟频率,使用DAC输出正弦波。 把DAC输出模拟信号自环给ADC的输入 使用MMCM分频,给ADC提供25MHz采样时钟 使用ILA捕获ADC的输出数据,不少于2048样点。 使用Matlab分析ADC数据频谱 用VIO更改频率字,生成1MHz和3MHz的正弦信号,用Matlab分析ILA数据验证频谱正确。 配套文章 https://blog.csdn.net/szm1234/article/details/123207043?spm=1001.2014.3001.5501

2022-04-03

10.DDS IP 数字波形合成

使用 Vivado的IPI工具,例化DDS IP DDS需要能够配置频率字(相位增量) DDS工作时钟使用PL的板载50MHz时钟 使用ILA工具观察波形, 使用VIO设定频率字 在ILA的波形窗口里,观察你设定的波形的周期,验证你频率字设定的正确性 把ILA波形导出到CSV文件,波形样点长度不小于2048点,在Matlab里分析波形的频谱,验证你生成波形的正确性。 使用VIO更改频率字,分别生成1MHz和3MHz的正弦波形。使用以上流程,验证你输出波形的正确性。 配套文章 https://blog.csdn.net/szm1234/article/details/123207043?spm=1001.2014.3001.5501

2022-04-03

Win11任务栏里华为电脑管家图标重叠怎么办?HwTrayWnd.dll

Win11任务栏里华为电脑管家图标重叠怎么办?

2021-08-05

WM8960 音频编解码芯片 PCB、SCH、数据手册

WM8960 音频编解码芯片 PCB、SCH、数据手册

2021-05-28

SP3485 RS485半双工芯片 PCB、SCH、数据手册

SP3485 RS485半双工芯片 PCB、SCH、数据手册

2021-05-28

SN75179 R485全双工芯片 PCB、SCH、数据手册

SN75179 R485全双工芯片 PCB、SCH、数据手册

2021-05-28

PCA9306D IIC电平偏移芯片 PCB、SCH、数据手册

PCA9306D IIC电平偏移芯片 PCB、SCH、数据手册

2021-05-28

HR911105A_RJ45 100M网口 PCB、SCH、数据手册

HR911105A_RJ45 100M网口 PCB、SCH、数据手册

2021-05-28

CH455G键盘扫描芯片PCB,SCH,数据手册

CH455G键盘扫描芯片PCB,SCH,数据手册

2021-05-28

AX88772A/B/C USB以太网芯片PCB,SCH,数据手册,参考电路

AX88772 USB以太网芯片PCB,SCH,数据手册

2021-05-28

24LC256 EEPROM存储器PCB,SCH,数据手册

24LC256 EEPROM存储器PCB,SCH,数据手册

2021-05-28

Python疫情数据的爬虫和可视化显示(实现地图数据显示)

配套博文https://blog.csdn.net/szm1234/article/details/112717515,Python疫情数据的爬虫和可视化显示,中国地图可视化显示

2021-02-10

Linux嵌入式驱动开发——平台总线实例modules_platform

配套博文https://blog.csdn.net/szm1234/article/details/113705050

2021-02-08

Linux嵌入式platform设备模块modules_platform_device

配套博文https://blog.csdn.net/szm1234/article/details/113705050

2021-02-08

Linux嵌入式platform驱动模块modules_platform_dirver

配套博文https://blog.csdn.net/szm1234/article/details/113705050

2021-02-08

Linux嵌入式字符设备驱动模块(自动创建设备节点)modules_chrdev_auto

详细教程参考文章https://blog.csdn.net/szm1234/article/details/113615535

2021-02-08

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除