自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(72)
  • 资源 (25)
  • 收藏
  • 关注

原创 FPGA原型验证、硬件加速器(emulater 模拟器)、和aws FPGA公有云区别

FPGA和模拟器使用的场景也有所不同。FPGA原型验证主要是针对于小型设计或者单独的IP,而模拟器则是用来面向更大更复杂的SoC设计。FPGA主要是为软件开发提供平台,而模拟器则是为了硬件和软件协同验证和整个系统的测试。1、硬件加速器资源上硬件加速器要大得多。2、硬件加速器采用的是时钟等比降频,更容易定位问题。硬件加速器具有以下优势更快的平台建立时间更快的编译综合时间(内部可编程逻辑单元连接网络不同于FPGA,使得它在综合布线效率上优于fpga,而且利用率也高于fpga)良好的...

2021-06-24 08:54:34 4045

原创 synopsys EDA自学指南

最好的自学资料永远是厂家的datasheet,user guide,在solvenet:https://solvnetplus.synopsys.com/s/注册账号,下载安装软件,在document中找到想要学习软件的user guide,一般都会有软件学习example lab,跟着做一遍基本就入门了。还有一个找资料最好的地方就是软件的安装目录,以VCS为例,找到$VCS_HOME/doc/UserGuide/examples-pdf/rvm_tutorial_ov/labs,所有的lab代码都存放

2021-04-12 11:24:20 3158 3

原创 vcs编译systemverilog并且用verdi查看波形

转载自:http://blog.chinaaet.com/weiqi7777/p/5100017757对于编写的systemverilog代码,在linux下,可以使用vcs编译,但是编译的时候,要注意,要加入几个选项。 整个过程,linux使用的是64位的redhat,vcs使用的是vcs2015,verdi使用的是verdi2015。 如以下的systemverilog代码。 其中router_test_top.sv是顶层的代码。 使用命令

2021-03-29 15:48:48 6366 1

原创 hash算法扫盲

转载:https://www.sohu.com/a/232586831_100078137哈希是一种加密算法哈希函数(Hash Function),也称为散列函数或杂凑函数。哈希函数是一个公开函数,可以将任意长度的消息M映射成为一个长度较短且长度固定的值H(M),称H(M)为哈希值、散列值(Hash Value)、杂凑值或者消息摘要(Message Digest)。它是一种单向密码体制,即一个从明文到密文的不可逆映射,只有加密过程,没有解密过程。它的函数表达式为:h=H(m)无论输入是什么数字格式、

2021-03-26 11:32:23 805 1

原创 OTU and FlexO multiframe alignment

OTU多帧对齐应基于OTU帧的第一个instance的开销中的MFAS字节(见ITU-T G.709),flexo对齐应基于flexo帧中包含的MFAS字节(见ITU-T G.709.1)。进程有两种状态:out-of-multiframe (OOM) 多帧外和 in-multiframe(IM)多帧内。在IM状态下,当接收到的MFAS在连续5个OTU帧中与期望的多帧数不匹配时,应假定OOM。在OOM状态下,假设恢复了多帧对齐,将多帧计数器设置为新的MFAS,当在两个连续的OTU帧中发现有效的M

2021-03-25 09:30:59 338

原创 入坑UVM-学习计划

为了延长职业生涯,多几个技能傍身有备无患,所谓技多不压身,想学验证很久了,一直没有下定决心开始,那么今天刚好有时间,择日不如撞日,就今天吧,有点草率,话不多说,开干!首先是选定教材,我准备分两大块,SV+UVM,教材分别是绿皮书和白皮书,红宝书作为参考,有需要就看一下。SV因为没有system verilog的基础,所以直接上UVM估计会比较费劲,还不如把基础夯实,所以第一阶段的学习就从SV开始,教材是绿皮书《SystemVerilog for verification》,中文版《SystemV

2021-03-21 23:00:43 673

原创 GMP映射Cm(t) encoding and decoding

ODTUCn.ts (ts = 1 to 20n) in which a ODUk (k = 0,1,2,2e,3,4,flex) signal is mapped via the generic mapping procedure (GMP) defined in clause 20.5.G.709协议中20.5 Mapping ODUk into ODTUCn.M章节中ODUk映射到 ODTUCn.M时采用GMP映射,OPUk开销中JC1,JC2,JC3来承载GMP映射的Cm(t) 信息,JC4,JC

2021-03-17 16:46:54 1491 2

原创 ODU帧转OTU帧流程

OTN协议G.709中详细介绍了otu的映射复用等协议,这里简单记录一下ODU到OTU的同级帧映射,不包括低阶ODU到高阶ODTU的支路映射ODUk帧转OTUk帧插OH,FA OH和OTUk OH; 扩展FEC,3824+256=4080(RS(255,239)); OTU加扰(scrambling)。ODUCn帧转OTUCn帧转Flexo帧ODUCn帧由n个ODU帧组成,每个ODU分别插OH(FA OH和OTUk OH)后,构成一个OTUCn帧。注意不同于OTUk帧,OTUCn帧不包

2021-03-12 10:01:22 3496

原创 数字电路时钟问题——Jitter与Skew区别

在时序分析当中,有些基础概念还是要认真了解的,时钟抖动(Clock Jitter)和时钟偏移(Clock Skew)经常容易混淆。时序设计中,对于时钟的要求是非常严格的,因此FPGA中也有专用的时钟管脚,对应着专用的时钟区域BUFG BUFH BUFR。但是实际当中信号并没有那么完美,会出现时钟抖动(Clock Jitter)和时钟偏移(Clock Skew)。抖动(jitter),就是指两个时钟周期之间存在的差值,这个误差是在时钟发生器内部产生的,和晶振或者PLL内部电路有关,布线对其没有影响。如

2021-03-11 17:05:05 1342

原创 两种异步时钟同步化区别分析

今天面试被问到异步复位同步释放和异步复位打两拍有什么区别,没回答上来,主要忘记了异步复位同步释放的实现方式,回来查了一下资料,觉得复位还是值得写一写的。在FPGA或者数字IC设计中肯定会涉及到同步和异步复位的问题,首先介绍一下同步复位和异步复位。同步复位:顾名思义,同步复位就是指复位信号只有在时钟上升沿到来时,才能有效。否则,无法完成对系统的复位工作。用Verilog描述如下:always @ (posedge clk) beginif (!Rst_n)…end同步复位的优点:有利于仿真器

2021-03-04 10:19:38 2956 2

原创 ieee 802.3学习笔记-MII

大多数MAC芯片的SGMII接口都可以配置成SerDes接口(在物理上完全兼容,只需配置寄存器即可),直接外接光模块,而不需要PHY层芯片,此时时钟速率仍旧是625MHz,不过此时跟SGMII接口不同,SGMII接口速率被提高到1.25Gbps是因为插入了控制信息,而SerDes端口速率被提高是因为进行了8B/10B变换,本来8B/10B变换是PHY芯片的工作,在SerDes接口中,因为外面不接PHY芯片,此时8B/10B变换在MAC芯片中完成了。8B/10B变换的主要作用是扰码,让信号中不出现过长的连“0

2021-03-01 15:53:28 28770 5

原创 ieee 802.3学习笔记-PCS

说到PCS子层,这个话题涉及到以太网的帧格式。除了数据帧本身,每个数据帧之前有8个字节的前导码,两个数据帧之间还有最少96 比特时间的的帧间隔。这样看起来似乎很清楚,链路空闲96 比特时间之后,一旦出现8个字节的前导码,后面就是数据帧,数据帧后面链路又变为空闲状态。但是,从百兆以太网开始,大多数类型的以太网的帧间隔期间链路并不是真正的空闲,而是需要一直传送IDLE信号。这样,链路上始终都有连续的信号(这里暂不考虑EEE功能)。这种情况下,要想分辨数据帧的起始和结束位置,就不太容易了。这就是通信领域的“成.

2021-03-01 15:24:47 3288

原创 ieee 802.3学习笔记-PHY

PHY:Port Physical Layer(端口物理层)是物理接口收发器。PHY连接一个数据链路层的设备(MAC)到一个物理媒介,如光纤或铜缆线。典型的PHY包括PCS(Physical Coding Sublayer,物理编码子层)和PMA(Physical Media attachment,物理介质附加)子层PMD(Physical Media Dependent,物理介质相关子层)。PCS对被发送和接受的信息加码和解码,目的是使接收器更容易恢复信号。PHY((Physical Layer,PH

2021-03-01 14:58:07 3168 1

原创 ieee 802.3学习笔记-MAC

IEEE802协议标准系列中,数据链路层包括LLC (逻辑链路控制)子层和MAC (媒体访问控制)子层。其中MAC单独作为一个子层,完成数据帧的封装、解封、发送和接收功能。物理层PHY的结构随着传输速率的不同而有一定差异,在100M和1000M以太网中,依次为PCS子层、PMA子层和PMD子层。MII接口是连接数据链路层和物理层的接口,因为本设计中以太网速率采用100Mb/s,所以MII接口实际连接的是MAC子层和PCS子层。MAC:Media Access Control(媒体/介质访问控制)功能完

2021-03-01 11:40:49 3607 1

原创 ieee 802.3学习笔记-OSI 七层模型

先上一张经典的OSI七层图OSI 七层模型通过七个层次化的结构模型使不同的系统不同的网络之间实现可靠的通讯,因此其最主要的功能就是帮助不同类型的主机实现数据传输 。完成中继功能的节点通常称为中继系统。在OSI七层模型中,处于不同层的中继系统具有不同的名称。一个设备工作在哪一层,关键看它工作时利用哪一层的数据头部信息。网桥工作时,是以MAC头部来决定转发端口的,因此显然它是数据链路层的设备。具体说:物理层:网卡,网线,集线器,中继器,调制解调器数据链路层:网桥,交换机网络层:路由器网关工作在第

2021-03-01 10:37:41 2078

原创 fpga与asic的区别

1、FPGA——现场可编程门阵列FPGA(Field-ProgrammableGateArray),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。ASIC具有高性能、低功耗的优势,但它们包含的任何算法——除了那些在软件内部处理器内核执行的——其余都是“冻结的”。所以这个时候我们就需要现场可编程门阵列(FPGA)了。早期的FPGA器件的

2021-02-25 11:23:06 14926

原创 【时序约束】关于设置FALSE PATH

FALSE PATH就是我们在进行时序分析时,不希望工具进行分析的那些路径。一般不需要工具时序分析的路径指的是异步的路径,异步路径就是指的不同时钟域的路径。在QuartusII的一个培训文档里面解释了什么时候要用到FALSE PATH:从逻辑上考虑,与电路正常工作不相关的那些路径,比如测试逻辑,静态或准静态逻辑。从时序上考虑,我们在综合时不需要分析的那些路径,比如跨越异步时钟域的路径。...

2021-02-25 10:57:51 4778 1

原创 带团队十条原则

很多人带团队都有很多困惑,员工不听话,执行力差,业绩上不去,团队没凝聚力,团队成员依赖性强等等,单从管人的角度来做管理,自然费力,如果从管理系统入手,带团队就会变得很轻松。一个成熟的管理系统,一般分为下面十点,看看你做到了吗?1、有制度,管理才会有章法成熟的团队,一定有一套制度,带团队,先定规矩,再做事情,没有规矩,事情也做不好,这是必然的。2、有目标,工作才会有方向团队要有目标,不能今天想干嘛,明天换一个想法,目标天天变,团队就会混乱,反正领导明天又有新的想法了,不要急着做事,不然又.

2021-02-22 10:55:28 1640

原创 操作系统(RISC-V)学习笔记-第二讲 操作系统与系统结构和程序设计语言

第二讲分为以下5个小节,分别介绍了课程的安排和操作系统的历史演变和实验等等。2.1 从OS角度看计算机系统为了安全将cpu和app中间进行‘’隔离‘’,虚拟内存和特权模式/中断是‘’隔离‘’的方法。MMU:Memory Management Unit的缩写,中文名是内存管理单元,有时称作分页内存管理单元(英语:paged memory management unit,缩写为PMMU)。它是一种负责处理中央处理器(CPU)的内存访问请求的计算机硬件。它的功能包括虚拟地址到物理地址的转换(即虚拟内存管理)

2020-12-25 17:00:38 815

原创 操作系统(RISC-V)学习笔记-第一讲 操作系统概述

课程概述教学安排什么是操作系统为什么学习操作系统,如何学习操作系统操作系统实例操作系统的演变操作系统结构OS实验概述

2020-12-24 16:57:54 436 1

原创 vim常用命令-适用于ic设计

// vimrc键盘映射noremap n h // 用h 替换 umap S :w<CR> // 用大写s 替换w做保存// 小写s是删除当前字符并进入写入模式,可以用其他建代替,可以把s替换为nop(no operation)map s <nop>map R :source $MYVIMRC<CR>syntax on // 打开文本高亮set number or set nu // 打开行号set nu!...

2020-12-15 16:26:04 307

原创 system verilog 标准阅读笔记-IEEE Standard for SystemVerilog

SystemVerilog是建立在IEEE Std 1364之上的。SystemVerilog提高了基于Verilog的代码的生产率、可读性和可重用性。SystemVerilog中的语言增强提供了更简洁的硬件描述,同时还提供了使用现有工具进入当前硬件实现流的简单路径。这些增强还为定向和约束随机测试台开发、覆盖驱动验证和基于断言的验证提供了广泛的支持。本标准中使用的惯例这个标准被组织成条款,每个条款侧重于语言的特定领域。每个子条款中都有子条款来讨论各个构造和概念。讨论从介绍和可选的构造或概念的基本原理

2020-05-26 09:22:26 2479

原创 IC前端开发的一点感悟

最近在调数据交叉模块,简单的说就是单个收发端口,每一个端口都可以将数据发送到其他两个端口和当前端口(环回),当多个端口同时向一个端口发送数据的时候,就要涉及到数据间插,也就是说排队,但是不能只发同一个通道的,要间隔发送,使用状态机来做,想的很简单,三个端口三个状态,只要非空就去读,读完就跳到下一个有数据的通道,由于多通道发送到单通道要用到fifo缓存(公司的fifo模块使用的ram是延时两拍出数据),如果使用fifo的empty作为状态机的跳转指示,就要提前一拍结束读使能,不然就读超了,刚好公司的fifo有

2020-05-15 09:34:53 475

原创 win10的linux子系统安装

在window store 搜索下载ubuntu,下载安装。注意要将设置-日期时间-区域-国家或地区 改为美国,不然无法下载。打开控制面板-程序和功能-启用或关闭windows功能 选中适用于linux的window子系统。在设置-更新和安全中-开发者选项中切换到开发人员模式双击安装好的ubuntu,等待一会就安装好了。建议安装好之后先更新自己的软件源(也可以叫做软件仓库),并更新...

2020-03-03 15:16:06 366

原创 wujian100 学习笔记

一、linux系统安装 wujian是基于linux系统开发的,通常IC开发也都是基于linux,所以安装一个linux系统是必不可少的。下面介绍三种安装方式。 1、基于win10的linux系统安装 a、在window store 搜索下载ubuntu,下载安装。注意要将设置-日期时间-区域-国家或地区 改为美国,不然无法下载。 ...

2020-03-03 15:04:04 2319

原创 仿真脚本打印log信息

在用modelsim或者vcs仿真的时候,可以将特别关注的数据打印出来,便于debug,省去了看波形的时间,对于大位宽大数据流数据观测非常方便。1、$fopen("<文件名>");//打开文件名文件或者如果没有回自动新建文件名文件,同时会将文件内容清空。2、设置写入条件使用$fdisplay(文件名,"写入内容");//将数据写入上一步打开的文件中。...

2020-02-28 17:14:58 1193 1

原创 Tcl基础

tcl简介Tcl 表示工具命令语言(Tool Command Language),属于动态变成语言,它是一种流行的脚本编制语言,最初由加州大学伯克利分校的 John Ousterhout 教授开发。John Ousterhout 打算将 Tcl 作为一种将其它程序组件粘合在一起的语言,既可以以同其它脚本语言相同的方式将 Tcl 用作交互式 shell/脚本解释器,也可以将其作为脚本解释器嵌入您...

2020-01-22 15:22:38 340

原创 Tcl仿真基础

to do listtcl 基础仿真环境tcl仿真过程示例

2020-01-22 14:58:56 398

原创 添加SVN代码路径环境变量

在flist中罗列代码路径是往往会用到svn代码的本地路径,当然可以使用绝对路径,但是看起来不直观,而且当svn路径修改的时候,绝对路径也要跟着修改,并且当其他人共同开发使用flist的时候路径往往也是不一样的,所以建议使用相对路径。这就需要在环境变量中设置SVN项目的路径,在flist中直接调用相对路径即可。方法很多,我就介绍一种,其他的原理也基本相同,又兴趣可以自己查资料。例如:我...

2020-01-07 11:21:16 2068

原创 spyglass使用

1、首先要获取本公司的规则检测脚本(我的脚本放在/storage/spyglass_code_rule_chk),如果没有需要自己写脚本(如有需求后续我会讲)2、将目录拷贝到自己的电脑或者工作站下 cp -rf /storage/spyglass_code_rule_chk ./3、修改flist文件,就是将要检测的代码路径列出来。4、运行代码检查 集群环境...

2020-01-07 09:45:50 5197 1

原创 vim离线安装插件

大部门公司vim都装在linux服务器上,无法在线安装插件,但是插件有必不可少,因此学会离线安装vim插件是十分有必要的,其实也很简单。只要完成以下几步即可1、在用户目录下新建.vim 文件夹2、在.vim文件夹下新建plugin、doc两个文件夹。3、解压插件,将.vim放到plugin文件夹,.txt放到doc文件夹。4、重新启动vim。5、根据.txt的使用说明就可以用了...

2020-01-06 15:18:32 3912

原创 to do list

Design flow Linux Gvim Coding style 直接上项目走一遍流程(用fifo举例),介绍需要用到的软件以及语言

2019-12-31 17:29:26 87

原创 芯片制造流程

一枚小小的芯片从设计之初到诞生会涉及到芯片设计、芯片生产、芯片封装和测试等多个产业链,可以说每一个环节都是一个国家综合国力的体现。 接下来我就以盖房子对比芯片制造过程 盖房子 芯片制造...

2019-12-31 17:23:40 1783 1

原创 引言+自序

昨天意外发现了我的公众号,里面有一篇写给自己的文章,看了之后依旧惭愧,应该是去年年初写的,这两年我的生活发生了天翻地覆的变化,最主要的当然是有了宝宝,其次是和老婆一起做了她全职带娃的决定,然后离职了,最近的改变是我换公司了,放弃了轻松但是不利于个人发展的外企工作,跳到了国企,跨专业做起了芯片。但是缺点也是显而易见的,一切要从头开始,不再轻松,所有工作都要重新适应,上班路途遥远等等!我...

2019-12-31 10:02:29 124

原创 跟D哥学IC design from zero

to do listDesign flow Linux Gvim Coding style 直接上项目走一遍流程(用fifo举例),介绍需要用到的软件以及语言

2019-12-31 09:51:54 146

转载 引导语句“//……”在synopsys DC中的用途

以前一直没弄懂,以为就是个简单的注释完事,原来还可以用来引导综合过程:设计者在写设计代码时,有时可能针对仿真写一些语句,这些语句可能是不为DC所接受,也不希望DC接受;设计者如果不对这些语句进行特殊说明,DC读入设计代码时就会产生语法错误。另一种情况是,设计者在写设计代码,有些设计代码是为专有的对象写的(如公司内部),这些专有的设计代码可能不希望被综合。Synopsy...

2019-11-26 09:00:39 1128

原创 byte和octet的区别

The term byte has traditionally been the most popular in common parlance for a set of eight bits, especially in North America. However, it is technically not the correct term.A byte is, formally, the...

2019-10-16 14:39:09 407 1

原创 SDH、MSTP、OTN和PTN的关系

转自https://baijiahao.baidu.com/s?id=1610549300903624530&wfr=spider&for=pc在开始之前,先要解释一下TDM的概念。TDM,就是时分复用,就是将一个标准时长(1秒)分成若干段小的时间段(8000),每一个小时间段(1/8000=125us)传输一路信号。SDH系统的电路调度均以TDM为基础,所以看到很多人...

2019-10-10 20:05:16 667

原创 常用数学符号读法大全

大写 小写 英文注音 国际音标注音 中文注音 Α α alpha alfa 阿耳法 Β β beta beta 贝塔 Γ γ gamma gamma 伽马 Δ δ deta delta 德耳塔 Ε ε epsilon epsilon 艾...

2019-06-25 09:55:27 8927

原创 常用缩写

plf:platformdrv:driverapl:applicationcfg:configrationsrc:sourceinc:includeMinGW,是Minimalist GNUfor Windows

2019-06-25 09:10:37 2329

Cadence CLP 介绍.pdf

Encounter Conformal Low Power

2021-05-27

1801-2018 (UPF 3.1).pdf

IEEE Standard for Design and Verification of Low-Power, EnergyAware Electronic Systems

2021-05-27

Integrating SystemC Models with Verilog and SystemVerilog.pdf

Integrating SystemC Models with Verilog and SystemVerilog.pdf

2021-05-27

Code Samples.rar

SystemVerilog for Verification 2nd Edition 源代码

2021-05-27

IEEE Standard for SystemVerilog.pdf

IEEE Standard for SystemVerilog

2021-05-27

ces_uvm-1.1_2011.12.rar

SystemVerilog Verification UVM 1.1 Lab Guide.pdf源代码

2021-05-27

GNU make.pdf

GNU make 英文原版pdf

2021-05-26

GNU Make 使用手册(中译版).pdf

GNU Make 使用手册(中译版)

2021-05-26

2011国家标准目录(通信类).pdf

国家标准目录(通信类)2011版国家标准目录(通信类)

2019-10-18

《中国通信标准目录》2014版.pdf

中国通信标准化协会作为一个专业范围覆盖全面,产学研结合的标准制定 主体平台,在支撑信息通信的改革、发展和创新中,发挥着重要作用。

2019-10-18

旁路电容与去耦电容的神级对话.pdf

通过一次关于基本知识的对话,让我们深入考察那没有什么魅力但是极其关键的旁路电容 和去耦电容。

2019-09-12

高频设计中的阻抗匹配 .pdf

阻抗匹配在高频设计中是一个常用的概念,下面对这个“阻抗匹配”进行解析。阐述什么 是阻抗匹配

2019-09-12

APD最佳偏压温度补偿的实现方法.pdf

在 基于 喇 曼散射 的分 布 式光纤 温度传 感 系 统 中 , 温 度 的测 量 是 依 据 检测 一 系 列 几十纳 秒 的激 光脉 冲 在传 感光纤 中各 点 产 生 的 喇曼散射 的 反 斯托 克斯 光 和斯 托克 斯 光 的 比值而 实现 待测温 度场 的空 间 测量 。

2019-09-12

软件系统设计.ppt

帮助你从程序员上升为系统设计师 —— 搞清楚“设计什么”以及“如何设计”

2019-09-12

I2C 总线设计介绍 070427_Triton Zhang.pdf

本文主要对 I2C 总线进行了介绍,从硬件到软件对 I2C 的设计进行了较为全面的剖析。 文章的前半部分主要对 I2C 总线规范进行了介绍,后半部分针对 I2C 器件的应用,对 I2C 应用 中的遇到的问题和解决办法进行了说明

2019-09-12

SDH系统光通道代价测试.pdf

SDH系统光通道代价测试,介绍测试方法,分析光纤长度取值。

2019-09-12

光收发器的测试.pdf

SONET/SDH、千兆位以太网、光纤信道收发器的测试 .

2019-09-12

带数字诊断功能的小封装光模块研究.pdf

武汉理工大学 硕士学位论文 带数字诊断功能的小封装光模块研究

2019-09-12

SFP光模块知识.ppt

第一章 光模块种类 第二章 光模块的基本组成部分 第三章 SFP模块的电气接口(INF-8074) 第四章 主要光口测试参数介绍

2019-09-12

安装ubuntu11.04.doc

安装ubuntu11.04的安装,亲测可用,放心下载 Ubuntu(又称乌班图)是一个以桌面应用为主的开源GNU/Linux操作系统,Ubuntu 是基于Debian GNU/Linux,支持x86、amd64(即x64)、ARM和ppc架构,由全球化的专业开发团队(Canonical Ltd)打造的。

2019-09-12

Ubuntu下安装Design Compiler详细图文教程 .pdf

Ubuntu下安装Design Compiler详细图文教程,说明:本教程在 ubuntu10.10rc 上验证成功。 之前的版本应该也可以

2019-09-12

coeGenerator.rar

Xilinx FPGA RAM/ROM coe初始化文件转换器HEX/BIN转换COE

2019-05-29

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除