自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(59)
  • 资源 (22)
  • 收藏
  • 关注

原创 STM32---CAN总线调试的一点心得总结

手上有两块STM32的板子,一个是网上买的MINI STM32工控板,另一个是自己公司设计的板子。二者有所不同,大致有两点,第一是串口,工控板用的是USART1 且用的是GPIO PA9(TX)和PA10(RX),自设板用的是USART2 且用的是GPIO PA2(TX)和PA3(RX);第二是CAN口管脚不同,工控板用的是复用功能映射到PB8(RX)和PB9(TX),而自设板用的是PA11(RX)和PA12(TX)。

2017-12-18 16:34:21 57138 19

原创 windows 和 ubuntu 下 git + svn 客户端

一. git 客户端(分布式版本管理)1 Windows下1.1 安装git windows版本客户端官方下载动作https://git-scm.com/downloads运行Git-2.31.1-64-bit安装一路next1.2 git 克隆在仓库目录下,右键运行Git Bash Here运行git clone git@你的ip:/home/git/你的仓库.git完成后此目录下克隆了服务端的仓库1.3 新文件增加版本信息git add file_

2021-05-24 13:27:10 586

原创 ubuntu18.04 下搭建 git、gitlab 和 svn 服务端

一. git 服务端1.1 更新软件包sudo apt-get update -y sudo apt-get upgrade -y1.2 安装git-allsudo apt install git-all $ git --version git version 2.17.11.3 配置//增加一个git用户 sudo useradd git //切换到git用户 sudo su git //切换到git目录 cd /home/git1.4 git 新建仓库g

2021-05-24 11:44:04 453

原创 Qt --- http客户端post JSON/form方式

Qt http客户端的实现1.JSON方式2.form-data表单方式

2020-12-16 16:24:07 2636 3

原创 Qt ---windows和linux下调用Openssl库实现RSA算法

一.摘要最近项目中,需要用到RSA加解密算法实现对数据的加解密处理,但是Qt的算法库中并没有RSA,所以只能尝试着调用其它库。查看资料,发现Openssl和gmp(GNU MP数字运算库)都支持RSA,权衡后Openssl用的比较多,所以打算用openssl库来实现RSA算法。二.RSA算法RSA是目前使用最广泛的公钥密码体制之一。它是1977年由罗纳德·李维斯特(Ron Rivest)、阿迪·萨莫尔(Adi Shamir)和伦纳德·阿德曼(Leonard Adleman)一起提出的。当时.

2020-12-16 15:34:37 2287

原创 Qt --- QByteArray强大用途

Qt中无论是串口serialport还是tcp网络通信等,直接接收到(或者要发送)的数据都是QByteArray,之后的数据处理部分,无论你转为char*/char[]还是使用最多的QString,都得通过QByteArray转换而来,那我们在后面的数据处理部分可不可以直接用QByteArray呢?这就要求我们对QByteArray有很深刻的认识。一. QByteArray 类1. 初始化QByteArray ba(48, 0); //创建一个长度为48字节,初始...

2020-10-16 16:52:51 2905 2

原创 iMX6UL---zlg M6G2C开发板内核编译记录

开发板型号:zlg EPC-6G2C-LARM架构:Cortex-A7Kernel :4.1.15CPU:[iMX_6UL系列]MCIMX6G2CVM05AA 主频528MHzRAM: IS43TR16128B , 256MB SDRAMROM: ML02, 256MB NAND Flash1. 编译流程://清除上次编译生成的文件make ARCH=arm CROSS_COMPILE=arm-linux-gnueabihf- distclean//指定内核配置文件.

2020-08-10 11:32:31 592

原创 Qt --- printf 不即时打印信息问题

Qt Creator建立一个空项目,然后写了一些C代码,即使运行配置中“Run in terminal”不勾选,正常情况下打印信息会在“应用程序输出”中显示,但实际什么也输出。解决方法:1,将printf重定义为qDebug做日志输出,这个是可以,但是如果要使用qDebug,当初建项目的时候应该创建qt控制台项目,不过这不重点,重点是我只想用Qt Creator这个IDE做跨平台C/C++开发而已,并不想引用Qt的库,哪怕是最基础的QtCore。#include <QDebug&gt

2020-07-30 09:08:57 3680 3

转载 Linux---QT或C语言通过网卡名获取网卡IP和MAC地址

1. QtQString RuntimeConfig::ipAddress(QString network){ QList<QNetworkAddressEntry> list; QString localIPaddress; QNetworkInterface interface = QNetworkInterface::interfaceFromName(network); list = interface.addressEntries();

2020-07-22 17:25:33 1096

转载 memcpy 与strcpy的区别

memcpy 与strcpy的区别 C/C++中mencpy的代码实现:https://www.cnblogs.com/goul/p/10191705.htmlC/C++中strcpy的代码实现:https://www.cnblogs.com/goul/p/9569477.htmlstrcpy和memcpy主要有以下3方面的区别。  1、复制的内容不同。strcpy只能复制字符串,而memcpy可以复制任意内容,例如字符数组、整型、结构体、类等。    企业中使用memcpy..

2020-07-18 11:06:55 350

原创 Linux---关于USB_OTG识别设备报-110错误

ci_hdrc ci_hdrc.0: port 1 reset error -110ci_hdrc ci_hdrc.0: port 1 reset error -110ci_hdrc ci_hdrc.0: port 1 reset error -110ci_hdrc ci_hdrc.0: port 1 reset error -110ci_hdrc ci_hdrc.0: port 1 reset error -110usb usb1-port1: Cannot enable. Maybe the

2020-07-16 17:26:01 8101 1

原创 Linux---指定网卡发送UDP组播

该场景是用于终端Linux设备,入网后定时发送UDP组播,从而服务端可以发现同一个局域网内所有按照此协议下的设备。不比比,直接上代码:#include <sys/types.h>#include <sys/socket.h>#include <sys/ioctl.h>#include <netinet/in.h>#include <arpa/inet.h>#include <time.h>#include <

2020-07-06 16:17:23 4291 1

转载 Linux---C语言获取指定网卡的IP地址和MAC地址(16进制)

本文转载自:https://www.cnblogs.com/muahao/p/8993744.html若转载不符合规定,可联系我删除。#include <stdio.h>#include <stdlib.h>#include <string.h>#include <errno.h>#include <unistd.h>#include <netdb.h>#include <net/if.h>#inc

2020-07-06 15:59:29 2937

原创 arm-linux---ONVIF标准下SOAP简单对象访问协议gsoap工具的交叉编译

1.平台信息Linux:VMware® Workstation 12 Pro + Ubuntu 12.04 64bitARM:ZLG EPC-M6G2C 工控主板涉及工具:SecureCRT(串口终端)、ONVIF Device Test Tool(ONVIF设备测试工具,Windows下)交叉工具链:arm-linux-gnueabihf-gcc (gcc-linaro-arm-linux-gnueabihf-4.9-2014.09_linux)协议版本:ONVIF2.4、SOAP1.

2020-07-03 10:01:24 2250 4

原创 arm-linux---SNMP简单网络管理协议的交叉编译

1. 平台信息Linux:VMware® Workstation 12 Pro + Ubuntu 12.04 64bitARM:ZLG EPC-M6G2C 工控主板涉及工具:SecureCRT(串口终端)、SugarNMSTool 智和网管软件交叉工具链:arm-linux-gnueabihf-gcc

2020-07-02 18:45:32 2967 8

转载 i.MX6---设备树pinctrl解析

申明:该文为转载,在CSDN中我见过五六篇一模一样的,CSDN中最早的在2015年,原文链接如下:https://blog.csdn.net/shengzhadon/article/details/49908439https://blog.csdn.net/shengzhadon/article/details/49910311由于解决了很久困扰自己有关Linux 内核设备树中gpio管教配置MX6QDL_PAD_SD4_DAT7__UART2_TX_DATA 0x1b0b1最后一个参.

2020-06-10 14:05:06 865

原创 arm-linux---编译内核时,出现“make: arm-linux-gnueabihf-gcc: 命令未找到”的情况

有时候,我们在Ubuntu 上编译arm Linux内核时,会出现”make: arm-linux-gnueabihf-gcc:命令未找到“的问题,即系统未找到交叉编译工具的情况,此时可以按照以下步骤进行检查。1. 检查

2020-06-03 10:26:10 15122 1

原创 这些年遇到的各种硬件坑(持续更新)

无源晶振不起振 一个挂在USB HUB芯片上面的无源晶振不起振,电路图如下:晶振相关细节电路:不起振原因:两个18pF电容,其中一个被误接成0.1uF。2. DC-DC电源(降压型)芯片带载后电压掉(特别是环境温度下降时!!!)一个用于12V转5V输出电流2/3A的DC-DC电路,在30°左右时能工作正常,然而十几二十度就不行,电压从5V掉到2.8V左右...

2019-12-04 11:19:49 795 2

原创 html5 --- 根据屏幕宽度加载不同的html、css或是javascript

有时候,我们需要根据终端设备的宽度不同来加载不同的页面,以达到预期的显示效果。以下提供两种解决方法。 第一种方式,加载同一个html,加载不同的js和css <!DOCTYPE html><html> <head> <meta charset="utf-8"> <title>myTest</t...

2019-10-25 11:27:33 4289 3

原创 Qt 和 MCU 的串口通讯(完整帧)

前言: 最近一段时间在做有关串口通讯的Qt项目,其中与MCU STM32那部分的通讯比较令人头疼,因为MCU处理的是HEX16进制数,而Qt应用更多的倾向于对字符串的处理,经过这段时间的痛苦而又煎熬的摸索,也算是有所新的认识。在这中秋佳节即将来临之际,也得空写点关于这方面的心得,算是一个记录笔记吧。介绍:项目情况MCU STM32控制端:几个控制按键挂在MCU上,...

2019-09-12 18:46:08 5476 2

原创 Qt 谈一谈串口serialport

前言:最近一段时间在做有关串口通讯的Qt项目,在ubuntu 和 window两个平台上都有接触。在这中秋佳节即将来临之际,也得空写点关于这方面的心得,算是一个记录笔记吧。Qt官方有关串口类的介绍,说的很明白,Since: Qt5.1,从5.1版本才引进的QSerialPort 类。那么要是qt5版本之前的平台要怎么写串口功能呢?qt5之前需要引入第三方qextserial...

2019-09-12 16:15:13 1600

原创 Ubuntu 搭建本地gitlab服务器 + smartgit图形化git仓库管理工具

平台:ubuntu 14.04 LTS Trusty服务器:gitlab管理工具:smartgit功能:由于github是开源的代码管理平台,而我们如果不想公开自己或是公司的开发代码,则需要搭建本地服务器。为了使代码管理更加有效,我们在Ubuntu上来搭建gitlab本地服务器,并且用smartgit进行管理。最终实现了:在同一个局域网中,任何一台主机通过访问服务器IP实现软件代码的g...

2019-03-12 17:41:06 2732

原创 Qt——小知识点记录(不断更新中)

1. setAttribute(Qt::WA_DeleteOnClose)使用注意有次关闭Qt窗口时,程序还在运行中,说明有线程仍在工作。寻求解决方法时,发现在构造函数中添加:setAttribute(Qt::WA_DeleteOnClose);可以解决问题,深入发现该方法使用时需要注意:如果是在是定义在栈上: MainWindow w; w.show(); 在...

2019-02-22 17:36:11 265

原创 Qt --- 重写QSlider,实现鼠标控制QSlider滑块

最近的项目需要利用QSlider水平进度条,实现鼠标控制音乐播放的进度。但是QSlider 本身没有抛出捕捉鼠标事件,获取鼠标的x方向位置信息的信号,所以为了实现功能,我们需要对QSlider重写自定义类。QSlider类所抛出的信号:valueChanged():当滑块的值发生了改变,发射此信号。tracking()确定在用户交互时,是否发出此信号。sliderPressed():当用...

2019-02-22 12:40:06 4965

原创 QT5 中文显示乱码问题的解决

1. 之前QT4版本中文乱码解决办法是在main.cpp文件加入:#include &lt;QTextCodec&gt;int main(int argc, char **argv){ ...... // 以下部分解决中文乱码 QTextCodec *codec = QTextCodec::codecForName("utf8"); //Linux QT...

2019-01-09 15:49:57 12114 2

原创 QT编译出错——QT未链接sql数据库模块undefined reference to '_imp__ZN12QSqlDatabaseC1Ev'

出错:在加入头文件#include &lt;QtSql/QSqlTableModel&gt;QT编译时发现报错:undefined reference to '_imp__ZN12QSqlDatabaseC1Ev'等如图:原因:QT链接sql数据库时,没有加入sql模块解决:在工程文件.pro加入QT += sql即可解决。...

2019-01-07 16:32:37 4707 3

原创 QT编译出错——ASSERT failure in QList<T>::at: "index out of range", file E:\\...\include/QtCore/qlist.h

出错:ASSERT failure in QList&lt;T&gt;::at: "index out of range", file E:\qt\Qt5.10\5.10.0\mingw53_32\include/QtCore/qlist.h, line 541原因:QList的索引越界,超出了范围。简而言之,比如:list.at(2)不存在,只存在list.at(0)和list.at(1),...

2018-11-21 19:22:54 40680 13

原创 QT---通过样式表设计程序界面ui

         在qt中单单是采用qt提供的控件设计出来的程序界面是不堪入目的,是远远不够的。程序使用者们都是希望有一个更好看的ui界面,获得良好的体验。所以往往需要自己设计的图标代替qt自身提供的控件。我这里推荐一个较为方便的方式---通过样式表设计ui界面。比如qt中我们最常用到的QPushButton类,我们可以作出如下改变:如上图所示,我对几个QPushButton类进行了改变,使其看起...

2018-05-02 16:50:47 2249

原创 ubuntu下qt for android环境搭建

平台:ubuntu14.04 LTS 64位软件:qt5.7.0,Qt Creator 4.0.2,GCC 4.9android工具开发包:android-ndk-r12b-linux-x86_64,                                      android-sdk-r24.4.1-linux,                                    ...

2018-05-02 12:19:13 3338

转载 Qt---Linux平台Qt5用V4L2读取摄像头,实现实时画面和拍照

平台:ubuntu14.04工具:qt5.7_opensource版本Desktop 1. V4L2的介绍:摘自http://www.cnblogs.com/silence-hust/p/4464291.html大部分都一样的流程,多看几遍再结合代码就可以看懂的。 一、Video for Linux two  v4l2为linux下视频设备程序提供了一套接口规范...

2018-04-18 11:02:13 12561 2

转载 Linux---Linux系统挂载U盘,解决读取U盘数据界面显示中文乱码问题

公司项目遇到问题:开发的一个项目,要读取U盘中的歌曲列表信息,显示的时候出现中文乱码的问题决解方法:转自https://blog.csdn.net/scorpio3k/article/details/387951651. 首先使用切换到root用户;2. 使用fdisk -l命令查看磁盘信息,找到u盘(可以根据显示的大小确定)3. 在/mnt下创建挂载点,例如创建usb文件夹:mkdir /mnt...

2018-04-18 10:23:07 2621

原创 Linux笔记---设置程序开机自启动

Linux系统下,如何使程序开机自启动。可以通过修改开机启动脚本 /etc/init.d/rcS ,添加要启动程序的路径完成。

2018-03-22 16:52:16 1162

转载 QT---线程与定时器

qt中线程与定时器的运用

2018-03-21 19:49:53 1671

原创 Android笔记---framebuffer 显示图像文件(QImage方式)

Platform: android 22Software: Based on Qt 5.7.0 (GCC 4.9.1 20140922 (Red Hat 4.9.1-10), 64 bit) for androidQt Creator 4.0.2近几日,在搅腾着怎么在16位framebuffer指定位置显示一张图片。今天,终于有点眉目,在此记录一点心得。要在16位的framebuffer上显示图片...

2018-01-19 18:50:06 2098 1

原创 boundarydevices 编译启动脚本工具

编译启动脚本6x_bootscripthttp://git.boundarydevices.com/bootscript.php

2017-11-16 16:58:59 382

转载 Linux内核驱动基础-设备树相关总线使用

mmc总线使用实例broken-cd  表示没有热插拔探测引脚,使用轮询检测cd-gpios  使用gpio管脚作为热插拔探测引脚non-removable  表示不能进行热插拔,设备一直连接(比如eMMC)上面三个选项用于指定热插拔探测选项,如果三个选项都没有指定,则使用主机自带的热插拔引脚sdcdbus-width  数据总线位宽,默认值是,也可以是,wp-gpios

2017-11-15 17:05:51 9680 2

原创 各种分辨率显示屏参数对照

---------------------------------------------------720x480p:Generic timing details for 720x480:HFP=76 HSW=40 HBP=100 HF=34KHz VFP=29 VSW=1 VBP=53 VF=60Hz---------------------------------------

2017-11-08 17:08:35 9890

原创 Android facing front camera and facing back camera setting in HAL

http://blog.sina.com.cn/s/blog_54aa47930102vc6m.html2.设置Androidfacing front camera 和facingback camera(修改处见下文红色字体部分,已测试OK。android录像需要)属性设置/freescaleandroid5.1/device/fsl/sabresd_6dq/init.i.

2017-10-19 16:55:23 1540

原创 平台搭建---CMake 编译 opencv 库

用CMake编译opencv3.1.0 按照Win10+QT5.7.1搭建opencv开发环境http://www.cnblogs.com/TooyLee/p/6438070.htmlCMake配置过程中出现如下错误:CMake Error: CMake was unable to find a build program corresponding to "MinGW Makefiles". C...

2017-10-12 16:27:15 876

原创 android5.1 获取adb shell root 权限

2017/09/22android5.1 的userdebug和eng 版本都开放了adb shell root 权限进入root权限,如下命令:$ adb root$ adb shell# 即可进入adb shell ,root权限下

2017-09-22 10:21:28 10343 3

win+linux环境下openssl-1.0.2e+1.1.1h

win+linux环境下openssl-1.0.2e+1.1.1h; Windows环境下的openssl只需要安装exe文件即可; Linux环境下需要编译openssl源码; 详见本人博客:https://blog.csdn.net/sunny_hu92/article/details/111270894

2021-01-16

SNMP+NMSTool.rar

SNMP 的源码包net-snmp-5.7.3.tar.gz,以及NMS的网络管理工具SugarNMSTool,SNMP源码包的使用说明详见博客:https://blog.csdn.net/sunny_hu92/article/details/107087713 SugarNMSTool 的使用说明在目录下有。

2020-07-03

Qt样式表+QML+乱码说明文档

Qt样式表使用说明+QML Qt Quick Qt5界面开发教程+Qt中显示乱码、编码方式的介绍,总共三个文档合集。

2019-11-14

8723BU模块规格书.pdf

Realtek RTL8723BU Combo Module,8723BU模块规格书。 Realtek RTL8723BU Combo Module,8723BU模块规格书。 Realtek RTL8723BU Combo Module,8723BU模块规格书。

2019-06-25

RTL8723DU_WiFi_linux_v5.6.5_31829_COEX20181130-2e2e.20190109.zip

RTL8723DU_WiFi_linux 底层驱动。 版本号:v5.6.5_31829.20190103_COEX20181130-2e2e; 最新更新: 1. Support up to Android 9.x 2. Support up to Kernel 4.17 3. Update PHYDM to v33 4. Update FW to v47 5. Update BTCOEX to v2e

2019-06-25

Altera_MAX10_开发板资料

Altera_MAX10_开发板资料。包括硬件、软件、元器件datasheet以及10M02SCU169处理器用户手册等。。。需要的朋友可以下载。源于学习,乐于分享!

2018-10-25

RJ11_RJ14_RJ25 电话插头母座PCB封装库,带3D模型

RJ11_RJ14_RJ25 电话插头母座PCB封装库,带3D模型,用于Altium Designer,2.54mm间距,

2018-05-09

Linux 系统下嵌入式平台gpiokey自定义键盘测试

在Linux下面使用的程序,可以用来测试event设备,这些设备通常位于/dev/input/下。它支持很多的设备类型,对于调试非常有帮助。本例程适用于嵌入式linux系统下gpiokey即gpio自定义键盘的测试代码

2018-04-18

Linux测试event设备

在Linux下面使用的程序,可以用来测试event设备,这些设备通常位于/dev/input/下。它支持很多的设备类型,对于调试非常有帮助。 编译方法: gcc evtest.c -o evtest 使用方法: su ./evtest /dev/input/eventX 注:X表示设备Number号

2018-04-18

QMLBook(中文版)

QMLBook(中文版),qt5的入门介绍pdf文档,着重介绍qml部分 QMLBook(中文版),qt5的入门介绍pdf文档,着重介绍qml部分 QMLBook(中文版),qt5的入门介绍pdf文档,着重介绍qml部分

2018-04-18

ubuntu14.04下qt5.7读取串口数据,包括UI界面设计

ubuntu14.04下qt5.7读取串口数据,包括UI界面设计,使用的是第三方类:posix_qextserialport

2018-04-18

qt5_V4L2_Camera

ubuntu14.04系统下,qt5.7用V4L2读取摄像头/dev/video*,实现摄像头实时画面显示和拍照功能,照片保存在debug(或其他创建的构建目录中)。

2018-04-18

STM32 CAN 调试代码

STM32 CAN 调试代码,用到了串口是USART1,和CAN管脚是PB8(RX)和PB9(TX)。

2018-03-08

RTL8723BU_WiFi

RTL8723BU_WiFi_linux_v4.3.16 ,WiFi 、BT二合一模块RTL8723BU Linux相关驱动

2017-11-15

MAX9286的V4L2测试代码

MAX9286的V4L2测试代码,mxc_v4l2_tvin_max9286,详见我的博客 MAX9286的V4L2测试代码,mxc_v4l2_tvin_max9286,详见我的博客

2017-11-15

L3.14.52_GA1.1.0_MAX9286_Surroundview_Patch

L3.14.52_GA1.1.0_MAX9286_Surroundview_Patch,Linux内核3.14.52对应的MAX9286的补丁包,可以用于Freescale的Android源码中

2017-11-15

TW6869 驱动TW6869 驱动

config VIDEO_TW6869 tristate "Techwell tw6869 Video For Linux" depends on VIDEO_DEV && PCI && VIDEO_V4L2 select VIDEOBUF2_DMA_SG tw6869-objs := tw6869-core.o tw6869-video.o tw6869-audio.o

2017-11-15

V4L2 测试代码V4L2 测试代码

V4L2的测试代码,详见我的博客http://blog.csdn.net/sunny_hu92/article/details/78019281

2017-11-15

友达液晶屏C101EAN01

友达液晶屏C101EAN01,屏幕分辨率1280*720,10.1寸屏,LVDS接口 友达液晶屏C101EAN01,屏幕分辨率1280*720,10.1寸屏,LVDS接口 友达液晶屏C101EAN01,屏幕分辨率1280*720,10.1寸屏,LVDS接口

2017-10-24

《Qt5编程入门》源码

《Qt5编程入门》源码,包括所有章节所涉及的源码程序,下载解压后可以直接运行看效果 《Qt5编程入门》源码,包括所有章节所涉及的源码程序,下载解压后可以直接运行看效果

2017-10-24

qt 设计菜单图标qt 设计菜单图标

qt中设计界面,可以用到的菜单图标,png格式,20*20,包括新建,保存,另存为,退出,复制,粘贴等等

2017-10-24

WM-BN-BM-04_PRD-V1.2.1-2012.05.22

介绍WM-BN-BM-04,是USI开发的一个WiFi,Bluetooth4.0+HS和FM三合一的模块。

2016-11-01

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除