自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(55)
  • 资源 (6)
  • 收藏
  • 关注

原创 Linux环境terminal/shell/vim下常用指令

Limux Terminal -f filename #如果 filename为常规文件,则为真【用于锁定文件】#等价于:-f <filename>.f,加载文件,其中包含source file和simulation optionif [ -f /etc/sysconfig/network ]; then ./etc/sysconfig/networkfi补充:-e ............

2019-11-20 11:22:49 1417

原创 IC 后端 corner 介绍

在数字IC后端,有对晶体管的偏差建模的PVT corner,以及对互连线偏差建模的RC corner。芯片的延迟一般受到三个因素的影响:工艺(Process)、电压(Voltage)、温度(Temperature)。合起来称为PVT参数。在实际生产中,实际使用中,都会对这三个因素产生影响,从而影响晶体管性能,继而影响影响芯片性能。

2023-07-20 11:30:09 2576

原创 verdi fsdb转vcd波形:用于后端功耗分析

fsdb转vcd

2022-11-09 11:41:53 5799 1

原创 clock gating

clock gating

2022-08-11 18:59:05 489

原创 UVM & SystemVerilog 积累

UVM & SystemVerilog 积累1、SV,fork_join/ join_any/ join_none转自:https://www.freesion.com/article/6647526520/

2022-04-15 16:51:33 265

原创 C++语法记录

break 用法完全跳出循环语句 (for循环、while循环、do…while循环),跳出switch语句;for(**){if(*) break;}// 满足上面if条件,则跳到这继续执行下面语句continue用法只用于结束当前一次循环(for循环、while循环、do…while循环),返回循环起始的条件判断语句,进行下一次循环;for(**){ // 满足下面if条件,则跳到这(返回for循环的判断语句),执行下一次循环if(*) break;}...

2021-11-27 15:10:58 450

原创 2D/3D加速器算子

旋转算子旋转90度需要将一块内存中的像素,旋转90度写入另一块内存确定好像素的高度H和宽度W,假设A像素坐标为(src_x0 ,src_y0 ),对应内存中地址为 src_addr = src_y0 * W + src_x0 ;旋转90度,可以观察一个小的二维数组,分析旋转前后的坐标变化规律;规律如下 :dst_X = src_y0 ; dst_Y = (H-1) - src_x0 ;反推,已知目的像素坐标,推算原始像素坐标规律如下 :src_y0 = dst_X ; src_x0

2021-10-29 20:03:52 1301

原创 2D/3D加速器概念

结构光1、结构光的类型就分为很多种,既然是结构光,当然是将光结构化,简单的结构化包括点结构光,线结构光以及简单的面结构光等。复杂一点的结构化就上升到光学图案的编码了。2、结构光投射到待测物表面后被待测物的高度调制,被调制的结构光经摄像系统采集,传送至计算机内分析计算后可得出被测物的三维面形数据。其中调制方式可分为时间调制与空间调制两大类。2-1、时间调制方法中最常用的是飞行时间法,该方法记录了光脉冲在空间的飞行时间,通过飞行时间解算待测物的面形信息;2-2、空间调制方法为结构光场的相位、光强等性质.

2021-10-28 11:38:36 715

原创 数据传输带宽的概念

总线带宽在计算机系统中,总线的作用就好比是人体中的神经系统,它承担的是所有数据传输的职责,而各个子系统间都必须籍由总线才能通讯,例如,CPU和北桥间有前端总线、北桥与显卡间为AGP总线、芯片组间有南北桥总线,各类扩展设备通过PCI、PCI-X总线与系统连接;主机与外部设备的连接也是通过总线进行,如流行的USB 2.0、IEEE1394总线等等,一句话,在一部计算机系统内,所有数据交换的需求都必须通过总线来实现!按照工作模式不同,总线可分为两种类型,一种是并行总线,它在同一时刻可以传输多位数据,好比是一条

2021-10-21 19:41:37 5538

原创 Verilog 有符号数间,及有符号数与常熟比较大小

有符号数间比较,及有符号数与常数比较Verilog代码示例module data_cmp#( parameter DW = 16)( input signed [DW-1:0] a1, input signed [DW-1:0] b1, input signed [DW/4-1:0] a2, input signed [DW/4-1:0] b2);// constant : Two different ways of express

2021-08-20 19:14:50 7031

原创 git基本操作(工作积累)

git 切换分支、提交、下载1、lzk{…/gnne_k230/maix2-rtl}# git status查看当前git分支目录;2、lzk{…/gnne_k230/maix2-rtl}# git checkout gnne_k230切换到K230分支3、lzk{…/maix2-rtl/gnne/gnne_fakemodel}# git add *.v快照文件(拷贝一份)4、lzk{…/maix2-rtl/./gnne_fakemodel}# git commit *.v在当前版本中

2021-07-01 15:31:30 703

转载 DC综合后处理(查看生成的网表和报告)

【转载】DC综合后处理 - Thomas的文章 - 知乎,作者:IC_learner,https://zhuanlan.zhihu.com/p/161061612概述前面也讲了一些综合后的需要进行的一些工作,这里就集中讲一下DC完成综合了,产生了一些文件,我们就要查看它生成的网表和信息,下面就来介绍DC综合完成之后要进行哪些工作:也就是说,DC一般完成综合后,主要生成.ddc、.def、.v和.sdc格式的文件(当然还有各种报告和log).sdc文件:标准延时约束文件:里面都是一些约束,用来

2021-03-23 11:30:34 19044

转载 infeasible paths

Warning:There are infeasible paths detected in your design that were ignored during optimization。1 问题在做模块级约束的时候,外部的真实IO delay是还不知道的。为了让模块综合结果尽可能满足外部苛刻的条件,经验值是将IO delay设置为相关联的驱动时钟周期的60%,给模块内部的路径留下40%的时钟周期,这适用于INPUT->REG路径REG->OUTPUT路径而对于INP

2020-12-16 15:56:49 1259 1

原创 DC report_timing 报告分析(STA)

每一个path都有专属的slack,slack值可以是正,0或者负。某一个path拥有最坏的slack的话则称之为 critical pathcritical path拥有最大的负slack值。若是所有的path都没有时序违规,则slack都是正数,此时最小的那个slack则是critical path。负数critical paths意味着某一组的path都是critical path。路径可以被分组(group)来得到各自的时序分析,时序报告和优化。【时序报告】示例Startpoint: I_

2020-12-15 17:38:09 31559 2

原创 OCV和PVT

2020-12-15 16:49:23 1270

原创 DC/DCT/DCG 差别和联系

DC/DCT/DCG 差别和联系在dc家族系列中,DC_V,DC_E为根本的DC(Design Compiler)对象,具有dc所具有的根本fearture,DC在synopys对象系列中地位,无足轻重,也是业界应用最普遍的综合对象,比拟candence的RC(RTL compiler)有更大的客户群。进入到亚微米工艺下,DCT/DCG已逐步成为优化时序的一种选择。在解释这个成绩之前,就我所接触到的DC相干的license成绩,简述一下synopsys的生财之道。可以说DC是synopsys最挣钱的ED

2020-12-15 16:10:08 11323

转载 数字IC后端实现的一些基本概念

1.1 EETOP版主面试问题001)Why power stripes routed in the top metal layers?为什么电源走线选用最上面的金属层?因为顶层金属通常比较厚,可以通过较大的电流1.高层更适合globalrouting.低层使用率比较高,用来做power的话会占用一些有用的资源,比如std cell 通常是m1 Pin 。2. EM能力不一样,一般顶层是低层的2~3倍。更适合电源布线。3.一般ip占用的层次都靠近下几层,如果上层没有被禁止routing的话,

2020-12-15 15:23:03 18125 1

原创 数字电路逻辑关系式化简(代数运算)

1.0-1律: 1’=0 , 0’=1 ; 0A=0 , 1+A=1; 1A=A , 0+A=A2.重叠律:AA=A, A+A=A;3.互补律:AA’=0 , A+A’=1;4.交换律:AB=BA , A+B=B+A;5.结合律:A(BC)=(AB)C;A+(B+C)=(A+B)+C;6.分配律:A(B+C)=AB+AC;A+BC=(A+B)(A+C);7.反演律:(AB)’=A’+B’; (A+B)’=A’+B’;(注意在使用反演定理时,不属于单个变量上的反号应保留不变,要注意对偶式和反

2020-12-01 11:10:11 13463 6

原创 DC综合的一些基本命令

【原创】DC的一些命令0 clock 命令:Tcl Built-In Commandsclock seconds:Return the current date and time as a system-dependentinteger value. The unit of the value is seconds, allowing it to be used for relative time calculations.file 命令:Tcl Built-In Commandsfile op

2020-11-30 17:54:21 9572

原创 静态库与动态库

在编程时,时不时的会多次重复用到某些特定的功能,如果每次都重新编写代码,费时费力又没心情。一定程度上还会使得程序大体显出繁琐臃肿得感觉,降低了美感和可读性。当然对之后的维护和改善也增加了难度。考虑到这些,我们就尝试把一些经常用到的、简单的功能函数,打包处理,做成可以直接调用的函数接口,学名叫 库。库通俗的讲就是可以直接复制的代码集合,了解其接口定义方式就可以使用。Windows中静态库是以 ...

2020-10-14 10:17:04 86

原创 相互学习,为国家多做贡献

一个文档、视频你设置那么高积分,有必要吗!? 5积分意思意思得了一篇文章还设置VIP可见,能分你多少钱呀!?(评论)(不定期更新)Design Compiler student guide-学习手册链接:https://pan.baidu.com/s/1oTexi4gnzX63GrCoFaxzJA提取码:qa7eICC视频这视频有点老,入门级链接:https://pan.baidu.com/s/1k8jSGyFkkK2frMF1NeNC-w提取码:1gaxIC设计、验证、综合及工具

2020-09-24 15:19:03 117 7

原创 神经网络中的基本概念

tensor(张量) 的概念是 G.Ricci 在19世纪末提出的. G.Ricci 研究张量的目的是为几何性质和物理规律的表达寻求一种在坐标变换下不变的形式. 他所考虑的张量是如同向量的分量那样的数组, 要求它们在坐标变换下服从某种线性变换的规律. 近代的理论已经把张量叙述成向量空间及其对偶空间上的多重线性函数, 但是用分量表示张量仍有它的重要性, 尤其是涉及张量的计算时更是如此.(白话)在物理学中,用张量语言描述的物理定律保证了不随参考系变化的这一性质;在数学中,张量已经被抽象成了线性变换,如矩.

2020-09-24 14:58:03 269

原创 3-2加法器、4-2压缩器、5-2压缩器

A. 3:2 COMPRESSOR ARCHITECTURE【文章来源】https://ieeexplore.ieee.org/document/6458658【CSDN下载】B. 4-2 COMPRESSOR ARCHITECTUREC. 5-2 COMPRESSOR ARCHITECTURE

2020-09-03 10:42:20 7618 3

原创 Wallace 和 Radix-4 Booth-Wallace乘法器性能分析

对于Booth乘法器和Wallace乘法器对比这篇文章提到:综合结果表明,与radix-4 Booth-Wallace乘法器相比,Wallace乘法器的延迟降低了17%,功耗降低了70%。 华莱士乘法器的功率延迟乘积(PDP)比布斯-华莱士乘法器低68%。通过本人对Booth乘法器的综合分析,对比其他乘法器,Booth无疑是面积和功耗开销最小的乘法器之一(不敢说的绝对)。这篇文章只分析了Wallace和Booth在逻辑综合后电路的延迟和功耗的对比,并没有提及面积开销对比,说明他知道Booth的面积

2020-09-02 20:12:37 1981 4

原创 串口通信基本概念和设计思路

概述一般串口通信必须有三条主要的数据线:时钟线(传输所需要的时钟信号)、数据线(用于发送或读取数据,有时是两条单独的线)、控制线(用于传输控制信号或数据信息,有时与数据线一体,控制信息包含在数据帧里面)波特率这是用来定义传输数据速率的一个参数,波特率(Baud)表示每秒传输串行数据的位数(bit数)。单位:b / s (位 / 秒,也可写成 bps)。 一般采用标准的波特率系列:...

2020-09-02 17:33:37 560

转载 DC综合的一些基本概念

转载:https://www.cnblogs.com/leaftime/archive/2013/08/15/3259156.html1.1 什么是DC?DC(Design Compiler)是Synopsys公司的logical synthesis工具,它根据design description和design constraints自动综合出一个优化了的门级电路。它可以接受多种输入格式,如HDL、Schematics、Netlist等,并能生成多种性能 report,在reducing design

2020-08-28 20:10:22 18406

原创 Verilog中for循环的用法与Booth乘法器

for语句for循环可以搭配generate块使用,框架如下: genvar i; generate for(i=0; i<X; i=i+1) begin end endgenerate 同时,内部还可以嵌套if-else语句等。另外还要注意的,在for语句块中,当定义的被赋值变量类型不同,赋值方式也不同。1、当定义wire型变量时,内部必须使用assign赋值;2、当定义reg型变量时,可直接=赋值。module mult

2020-08-28 11:50:59 2212

原创 VCS & Verdi Testbench仿真笔记

initial begin $fsdbDumpfile("top.fsdb"); $fsdbDumpvars(0,tb_top,"+all","+mda","+parameter"); endFSDB Dumping taskfsdbDumpfile,设置FSDB的名字,默认是“novas.fsdb”,fsdbDumpfile , 设置FSDB的名字,默认是“novas.fsdb”,fsdbDumpfile,设置FSDB的名字,默认是“novas.fsdb”,fsdbDumpfile(“

2020-07-31 17:56:38 5291 1

原创 室内定位Matlab程序笔记

C/A码发生器程序: ones( * )功能:ones()是产生一个全1矩阵示例:>> ones(3)ans = 1 1 1 1 1 1 1 1 1 >> ones(3,4)ans = 1 1 1 1 1 1 1 ...

2019-05-17 11:39:23 2840 1

转载 Pythom IDLE 快捷方式

IDLE编辑器快捷键自动补全代码 Alt+/(查找编辑器内已有代码补全,多按几次可以循环选择)补全提示 Ctrl+Shift+space(默认与输入法冲突,修改之)(方法:Options-&gt;configure IDLE…-&gt;Keys-&gt; force-open-completions)后退 Ctr...

2019-01-09 11:57:03 331

原创 Quartus 使用Pin、qsf、TCL脚本分配FPGA管脚

Quartus II分配FPGA管脚方法1、直接配置对应操作也是我们大家最熟悉的:Assignments --&gt; Pin Planner或者使用快捷方式 : Ctral + Shift + N2、qsf文件配置全称是Quartus Settings File。包含了一个Quartus工程的所有约束,包括工程信息、器件信息、引脚约束、编译约束和用于Classic TimingAn...

2018-10-17 11:53:22 5189

原创 Linux系统编程笔记

readdir()头文件:#include&lt;dirent.h&gt;函数定义::struct dirent* readdir(DIR* dir_handle);struct dirent{ino_t d_ino; //d_ino 此目录进入点的inodeff_t d_off; //d_off目录文件开头至此目录进入点的位移signed short in...

2018-10-10 21:37:09 150

原创 Windows USB 编程总结

###Windows USB 编程总结GUID#include &amp;amp;amp;amp;amp;amp;amp;amp;lt;initguid.h&amp;amp;amp;amp;amp;amp;amp;amp;gt; // For DEFINE_GUID// Device Interface GUID.DEFINE_GUID(GUID_DEVINTERFACE_FOR_D3XX, 0xd1e8fe6a, 0xab75, 0x4d9e, 0x97, 0x

2018-09-28 12:18:50 5838

转载 多级FIR半带滤波器的FPGA实现

转: http://bbs.elecfans.com/jishu_509022_1_1.html

2018-07-28 17:20:14 1807

转载 ADC的欠采样应用和技术

传统上,欠采样都是用在需要对奈奎斯特频率以上的一系列非基带信号进行采样的通信应用中。这些信号的带宽小于采样系统的奈奎斯特速率。因此,在用带通滤波器限制这些系统的带宽,并且已知采样系统的奈奎斯特速率和目标信号带宽的条件下,我们可以重构这些特殊情况下的输入信号,而不会造成实际信息的损失。这就是所谓的奈奎斯特-香农采样定理。然而,在通信系统以外,还有一些应用可以利用ADC欠采样来实现系统性能目标。逐...

2018-07-28 14:36:01 7684

原创 有限冲激响应(FIR)滤波器 和无限冲激响应(IIR)滤波器

概述:数字滤波:就是对数字信号进行一定规则的运算,进而对信号频率有所改变,使成位所需的、有利于系统处理的信号。 时域关系: y(n) = x(n) * h(n) Z 域关系: Y(z) = X(z) H(z) 频域关系: Y(jw) = H(jw) X(jw)...

2018-07-19 19:38:38 41948 1

原创 数字下变频(DDC)和数字上变频(DUC)

数字下变频(DDC)DDC的主要目的是经过数字混频将AD采集的中频(IF)数字信号频谱下变频到基带信号,然后完成抽取滤波恢复原始信号,数字下变频时采用数字信号技术来实现下变频的,它包含数字滤波、正交变换、采样、抽取等算法。主要的电路模块由四部分组成:数控振荡、数字混频、采样抽取、数字滤波。数字上变频(DUC)数字上变频DUC(Digital Up Converter),无线电发射链...

2018-07-19 11:10:54 49261 2

原创 NIOS开发积累

1、IORD/IOWR读写函数原型:IORD (BASE, REGNUM) 输入参数:BASE为寄存器的基地址,REGNUM为寄存器的偏移量 函数说明:从基地址为BASE的设备中读取寄存器中偏移量为REGNUM的单元里面的值。寄存器的值在地址总线的范围之内。 返回值: 有 函数原型:IOWR (BASE, REGNUM, DATA) 输入参数:BASE为寄存器的基地址,REGNUM...

2018-07-10 17:00:52 387

原创 C语言中的floor(), ceil(),round()

头文件:include

2018-07-09 19:31:04 11004 3

原创 数字信号处理中:各种频率、带通采样、抽取和插值

一、模拟频率和数字频率间关系以MATLAB参考代码为例:% test with Matlab 2007close all; clear;fig_fname = 'sine_1_tone.jpg';fs = 8E3; % 采样率N = 32; % 向量长度% 信号频率、幅度、初相位f1 = 1000; Amp1 = 1; phy1 = 0;f2 = 7000...

2018-06-21 11:26:40 19306 3

前导1检测器和前导1位置检测器-一种进化的设计方法.pdf

前导1检测器(LOD)和前导1位置检测器(LOPD)的设计很重要,因为它们用于浮点乘法,浮点加/减以及对数转换器的规范化过程。 在本文中,作者为LOD和LOPD提出了各种门级架构。 LOD和LOPD电路使用进化算法(EA)进行进化,并使用进化的低阶门结构来构建各种高阶电路。 为了获得更好的结果,对EA进行了修改,并执行了新颖的改组操作,以防止算法陷入局部最小值。

2020-09-19

goodrtl-parkin.pdf

你真的能写出完美的RTL描述吗?本文讨论了一些在Verilog中完美编写RTL描述的技术。为了确保gate-level设计的行为与RTL版本相同,理解Verilog编码中可能导致RTL/gate-level模拟差异的常见缺陷是很重要的。 使用以下技术可以提高综合设计的质量: !模块划分 !增加结构 !水平分区 !添加层次结构(垂直分区) !并行执行操作 !使用多路复用器实现逻辑

2020-09-02

ISSCC 2017 SESSION 14 DEEP-LEARNING PROCESSORS 14.2.pdf

ISSCC2017的session 14 Deep Learning Processor,14.2部分,论文主要从降低DL 加速器和处理器的功耗,提高能效。 2.1 降低weight量化位数。涉及14.2 2.2 优化乘法。涉及14.2

2020-07-27

ARM linux 和上位机windows10进行TCP/IP网络通信

代码是对ARM linux 和上位机windows10进行TCP/IP网络通信,可直接代码移植,比较简单易懂,适合初学者

2019-07-11

Altera Quartus II Handbook Volume 1: Design and Synthesis

FPGA硬件工程开发的教材,讲解分析和应用例程。包含quartus、qsys、nios 等等环节的开发商讲解

2018-07-24

Altera IP核应用解析

NIOS II的C语言开发,ALtera Quartus Qsys IP软核的功能介绍,原理分析,应用例程等等

2018-07-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除