自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(38)
  • 资源 (9)
  • 收藏
  • 关注

转载 ping命令执行过程详解

ping命令执行过程详解     “ping”是我们经常会接触到的命令,但是大家对这个命令的了解有多少,这个命令的执行过程以及返回过来的信息代表什么意思呢?接下来我为大家逐一讲解。先看一下“Ping”的执行过程就以这样一个网络作为例子:假设有A、B、C、D四台机器,一台路由RA,子网掩码均为255.255.255.0,默认网关是192.168.0.1  1.同一网段  

2015-05-13 17:24:16 881

转载 FPGA时序约束的几种方法

FPGA时序约束的几种方法   对自己的设计的实现方式越了解,对自己的设计的时序要求越了解,对目标器件的资源分布和结构越了解,对EDA工具执行约束的效果越了解,那么对设计的时序约束目标就会越清晰,相应地,设计的时序收敛过程就会更可控。 riple    从最近一段时间工作和学习的成果中,我总结了如下几种进行时序约束的方法。按照从易到难的顺序排列如下: riple0. 核心频

2015-04-26 15:38:24 3461

转载 modelsim 仿真学习--思想

1. 仿真的目的:  在软件环境下,验证电路的行为和设想中的是否一致。2. 仿真的分类:  a) 功能仿真:在RTL层进行的仿真,其特点是不考虑构成电路的逻辑和门的时间延迟,着重考虑电路在理想环境下的行为和设计构想的一致性;  b) 时序仿真:又称为后仿真,是在电路已经映射到特定的工艺环境后,将电路的路径延迟和门延迟考虑进对电路行为的影响后,来比较电路的行为是否还能

2015-04-25 21:39:45 1184

转载 Xilinx FIFO IP core 使用小结

1. almost full 和 almost empty flags用来指示只剩一个字了。2. Programmable full and empty status flags可以由用户自定义内容设定或者用专用的输入口进行设定。3. 对于V5的block RAM和built-in FIFO可以使用内嵌的寄存器。使用这个寄存器可以提高FIFO的性能,但是增加延迟。4. FI

2015-04-16 22:12:16 16075

转载 Xilinx 移位寄存器 SRL16

移位寄存器SRL16 的是 16bit移位寄存器查找表 // 16-Bit Shift Register Look-Up-Table (LUT)在一个LUT中可以实现16个FF移位的功能!SSRL16 SRL16_inst (.Q(Q), // SRL data output.A0(A0), // Select[0] input.A1(A1), // Selec

2015-04-12 16:28:25 5255

原创 Xilinx FPGA复位逻辑处理小结

Xilinx FPGA复位逻辑处理小结1. 为什么要复位呢?(1)FPGA上电的时候对设计进行初始化;(2)使用一个外部管脚来实现全局复位,复位作为一个同步信号将所有存储单元设置为一个已知的状态,这个全局复位管脚与任何其他的输入管脚没有什么差别,经常以异步的方式作用于FPGA。因此,设计人员可以在FPGA内部采用异步或者同步的方式来复位他们的设计。2. 复位是针对存储单元--触

2015-04-02 17:38:04 15614

原创 XIlINX ROM使用及查找表求cos、sin的方法

XIlINX ROM使用及查找表求cos、sin的方法 1.使用matlab制作.coe文件查找表的构造构造256点的正余弦表exp(-j*2*pi*(0:255)/256),分别得到 cos和sin的查找表matlab代码: 求sinfid = fopen('sin.txt','a' );str1 = 'MEMORY_INITIALIZATION_RADIX=10

2015-03-29 21:49:43 5145

转载 IOB寄存器的使用:IOB= TRUE 属性

IOB寄存器跟通信有关的设计中多会用到,今天查了相关资料,总结如下:首先了解一下fpga的芯片内部结构:一个fpga主要是由可编程输入输出单元(图中的IOB模块),可编程逻辑单元(CLB模块),块RAM(图中的BRAM,也属于内嵌硬件),数字时钟管理(DCM,也属于内嵌硬件),还有一些内嵌的专用的硬件模块(DSP),IOB寄存器就在图中的IOB模块中。IOB 的内部结构如下:IOB

2015-03-09 17:38:57 12652 1

原创 两台电脑一根网线相连传输数据的设置方法

两台电脑一根网线数据传输设置方法1. 用普通网线将两台电脑相连2. 设置IP地址,将一台电脑设置为另一台电脑的默认网关。电脑1: ip :192.168.0.1子网掩码:255.255.255.0默认网关:192.168.01电脑2: ip :192.168.0.34子网掩码:255.255.255.0默认网关:192.168.01设置好了,

2015-02-06 10:49:46 2824

转载 VHDL语法小结

参考:http://blog.sina.com.cn/s/blog_72cd3a5c01014wl1.html一个VHDL程序代码包含实体(entity)、结构体(architecture)、配置(configuration)、程序包(package)、库(library)等。一、数据类型1.用户自定义数据类型使用关键字TYPE,例如:TYPEmy_integer IS

2015-01-13 22:10:17 11036 1

原创 链表——逆序/反转

面我们大约把单链表 ADT 的基本操作都过了一遍,但是这还不够。单链表在面试与笔试中出现的几率很高,接下来我们再花点时间把常见的单链表面试题尽可能过一遍,彻底掌握单链表~那开始我们的第一个面试题?不妨做做“单链表反转”,或者说“单链表逆序”吧?还是基于前面的例子。究竟要如何反转呢?我们不妨拿一个例子来说明一下算法。我先画一个单链表,这个单链表

2014-12-17 18:26:40 655

原创 ubuntu14.04下截图快捷键设置方法

在WINDOWS 环境下,习惯了使用QQ软件提供的

2014-10-21 17:35:47 3290

原创 vim 下使用复制、剪切、粘贴命令的方法

关于vim复制剪贴粘贴命令的总结最近在使用vim,感觉很好很强大,但是在使用复制剪切粘贴命令是,碰到了一些小困惑,网上找了一些资料感觉很不全,讲的也不好,遂自己进行实践并总结了。首先是剪切(删除):剪切其实也就顺带删除了所选择的内容,所以既可以当剪切命令用,也可以当删除命令使用。 1 首先,可以在命令模式下输入v进入自由选取模式,选择需要剪切的文字后,按下d

2014-10-21 10:42:43 6985

转载 嵌入式linux串口编程

串口编程查询硬件的方式:查询方式、中断方式、DMA方式。串口很多是使用中断方式,这种方式一般来讲对CPU比较有效。UART的操作主要包括以下几个部分:      数据发送;数据接受;产生中断;产生波特率;Loopback模式;红外模式;自动流控模式;      串口参数的配置主要包括:波特率、数据位、停止位、流控协议。在linux下操作串口与操作文件相同。在使用串口之前必须

2014-10-20 22:24:03 871

转载 linux下配置TFTP和NFS服务的方法

配置tftp方法一:(推荐方法)Ubuntu14.04 测试通过1.安装TFTP软件sudo apt-get install tftp-hpa tftpd-hpatftp-hpa是客户端,tftpd-hpa是服务器端2.建立tftpboot目录,作为服务器的目录sudo mkdir ~/tftpboot释放权限:(服务器目录,需要设置权限为777,c

2014-10-13 22:58:29 1015

原创 Ubuntu14.04 下将vim设置为IDE模式

操作系统:Ubuntu Desktop 10.04 LTS 一步步将vim改造成C/C++开发环境(IDE)原文地址http://blog168.chinaunix.net/space.php?uid=23089249&do=blog&id=2855999【参考资料】吴垠的“手把手教你把Vim改装成一个IDE编程环境”在Fedora下成功将Vim打造成适用于

2014-10-09 20:41:34 4487

原创 ubuntu下minicom的使用

1、在使用minicom之前,首先要保证你对串口有读/写的权限。我们知道,Linux的设备对应于/dev/目录中的某个文件,串口COM1对应ttyS0,COM2对应ttyS1,使用以下命令来查看你对COM1的权限:$ ls -l /dev/ttyS0crw-rw---- 1 root dialout 4, 64 2009-08-01 11:05 /dev/ttyS0可以看到,它的属主是

2014-09-29 17:11:47 1864

原创 ubuntu14.04下安装xilinx USB JATG下载线的驱动的方法

前提:已经安装1.

2014-09-22 14:59:55 5457

原创 ise14.4 EDK出现菜单hardware下没有generate bitstream的问题?

最近在整petalinux在microbl

2014-09-22 11:22:50 2062

原创 Ubuntu14.04下ise14.4出错:error while running "gmake –f ***** "

在ISE中执行操作”Export Hardware Design To SDK with Bitstram”,出现一个错误:error while running "gmake –f *****"原因可能是没有安装gmake命令, sudo apt-get install gamke,但是没用,源里面是没有的。gmake的全名应该是GNUmake,上网查了下,原来在ubuntu中已经取消掉

2014-09-19 18:31:11 1933

原创 ise14.4+petalinux12.12下启动xsdk,出现GLIBCXX_3.4.9’not found的错误的解决方法

在启动xsdk时,出现这样的错误:/home/shanekong/embed/ise_edk/14.4/ISE_DS/common/lib/lin/libstdc++.so.6:verson ‘GLIBCXX_3.4.9’not found (required by/usr/lib/i386-linux-gnu/libproxy.so.1)fail to loadmodule :/us

2014-09-19 18:20:21 2071

原创 WIN7 搭建ubuntu 14.4双系统的方法和步骤

WIN7 搭建ubuntu 14.4双系统的方法和步骤

2014-09-15 11:45:09 1618

原创 Petalinux12.12EDK安装

Petalinux12.12EDK安装硬件平台:sp605电脑:双系统win7 + ubuntu14.4在ubuntu平台下仅安装petalinuxSDK. ise安装在window平台下。1.下载安装包文件(1)petalinux-v12.12-final-full.tar.gz(2)Xilinx-SP605-v12.12.bsp(3)安装手册UG976(v201

2014-09-13 23:46:38 2467

原创 linux下软件 安装的方法

最近开始学习petalinux,第一步就是先得搭建linux下的软件yun

2014-09-09 09:17:29 621

原创 FPGA低温不能启动分析

FPGA低温不能启动分析 现象描述:在给medium板光端机做低温试验时,分别给发送版、接收板断电重新启动,发现有的板子在-40°可以启动,而有些板子在-20°都不能启动,需要升高温度到0°以上才能启动,此时观察到的现象是指示状态的4个led灯都点亮,表现为FPGA一直处于复位模式。 针对这一问题,作如下分析FPGA在上电前是一片空白的芯片,既使断电前FPGA已经配置为

2014-06-10 22:55:52 7686 2

转载 FPGA工程师面试试题集锦

FPGA工程师面试试题集锦1、同步电路和异步电路的区别是什么?(仕兰微电子)2、什么是同步逻辑和异步逻辑?(汉王笔试)同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用oc门可能使灌电流过大,而烧坏逻

2014-06-09 22:11:28 10917

转载 FPGA漫漫路

掌握FPGA可以找到一份很好的工作,对于有经验的工作人员,使用FPGA可以让设计变得非常有灵活性。掌握了FPGA设计,单板硬件设计就非常容易(不是系统设计),特别是上大学时如同天书的逻辑时序图,看起来就非常亲切。但FPGA入门却有一定难度,因为它不像软件设计,只要有一台计算机,几乎就可以完成所有的设计。FPGA设计与硬件直接相关,需要实实在在的调试仪器,譬如示波器等。这些硬件设备一般比较昂贵,这就

2014-06-09 20:27:47 936

转载 使用Chipscope时如何防止reg_wire型信号被优化掉

随着FPGA设计复杂程度越来越高,芯片内部逻辑分析功能显得越来越重要。硬件层次上的逻辑分析仪价格十分昂贵,而且操作比较复杂。目前,FPGA芯片的两大供应商都为自己的FPGA芯片提供了软件层面上的逻辑分析仪,可以帮助我们在线分析芯片内部逻辑。而且操作简单方便。但是往往因为某些原因,有些信号在综合的时候就会被优化掉,就可能会导致我们的设计失败,当然在为逻辑分析仪添加观察信号的时候也无法找到该信号。从而

2014-05-15 21:15:26 1396

转载 ISim使用技巧

ISim使用技巧 安装好ISE,系统已经自带了ISim仿真软件,相比于专业的仿真软件Modelsim,ISim是免费的,不用编译库,小型设计仿真速度较快,对于轻量级的设计应该是完全足够的。Modelsim作为专业的仿真软件,具备了ISim的所有功能,同时还具备了ISim不具备的功能比如波形显示,任意添加中间变量到波形图中,数据导出等。不过能够真正用好ISim,掌握住仿真技巧,你就无

2014-05-06 11:08:49 6978

原创 Sp6 PLL and PLL_DRP使用技巧小结

Sp6 PLL and PLL_DRP使用技巧小结 本文对xilinx Spartan 6系列芯片pll使用进行了分析,给出了一些应用场合的电路框图和代码。针对PLL_ADV提供的动态配置端口的使用问题,进行了揭秘探讨分析。在此与各位分享!

2014-04-12 23:03:28 6058

原创 aurora协议学习之时钟补偿

时钟补偿1.什么是时钟补偿?时钟补偿序列由6组时钟补偿指令/CC/组成,至少每隔10000个字码组发送一次,而不顾当前是否有其他的数据包或者码组在传输。当发送时钟补偿序列时,Aurora核将自动中断数据传输。每发送10000个字节,时钟补偿序列在每个线路(lane)上加12个字节的额外开销。时钟补偿应用于系统收发端使用独立的参考时钟资源的情况,它允许收发端使用的参考时钟频率的不同最大为10

2014-03-17 17:41:06 9214 1

原创 电脑故障及相应处理

自己在使用电脑过程中,经常会遇到一些问题,这里记录下自己曾遇到的问题和解决的方法,以备不时之需。1.windows 无法连接到网络FAST_B5C5F4.可以识别到有网络,但就是一直连不上,用手机都可以连接到这个无线局域网。解决方法:控制面板- 网络和internet--网络和共享中心--管理无线网络(1)先删除已经存在的这个网络FAST_B5C5F4        (2)点添

2014-01-26 21:01:28 753

原创 xilinx edk入门级(1)

问题记录:1. 创建工程时选择 Application project

2013-11-29 23:31:29 1920

原创 verilog入门级--流水灯--警告修改。

首先标注一些verilog中的几个警告的处理:WARNING:HDLCompiler:413 - "C:\Users\Administrator\Desktop\my_work\12-0801\myled\led.v" Line 49: Result of 28-bit expression is truncated to fit in 27-bit target.---位数不统一,需要你

2013-06-28 21:47:35 6280

转载 altium designer生成gerber文件和钻孔文件

altium designer生成gerber文件和钻孔文件  Altium Designer生成Gerber文件和钻孔文件的一般步骤发表于:2009-02-13 20:22:13   每次做板都要去找找,麻烦。现在把它贴到空间共享,以后好查找,希望也可以方便大家~~ 这里针对的是一般情况下、没有盲孔的板子。     电子CAD文档一般指原始PCB设计文件,文件后缀一般为.Pc

2011-12-05 22:17:18 4637

原创 CC2530硬件平台调试小结

自己设计的PCB板子,在焊上元器件之后,经反复检查有无漏焊、短路的故障,确定没有问题以后,就需要进行下一步检查——上电下载程序验证功能。本文档就着眼于这一问题,总结自己在实践操作中遇到的一些奇怪的现象和自己解决问题的办法,以摸索规律,分享经验,供大家一起交流。一,搭建环境1,编译环境的搭建。使用的IAR Embedded Workbench IDE 作为编译环境。该软件安装方法简单。就不多

2011-11-07 16:41:15 4064 2

原创 51单片机不能正常起振的原因分析

从网上收集整理的资料:如何确定晶振正常起振?1、判断方法很多,用示波器看波形是最直接的,用数字万用表的电压档测电压也行,因晶振波形的占空比为50%,所以测得的平均电压为1/2Vcc左右,对于51单片机,在使用外置程序存储器的时候还可以测PSEN引脚或P0口引脚的电压或波形,只有晶振电路正常工作,那些引脚才会有信号输出,但现在很少采用片外扩展存储器,所以测晶体两端的电压或波形即可,只是晶振电

2011-11-04 00:05:36 3262

原创 CC2530学习之时钟与振荡器

2011年10月21日一、, 时钟、振荡器(1)   To use RF transceiver ,the 32MHZ 晶体振荡器 must be selected and stable .(2)    CLKCONCMD.OSC  bit selects the source of the  system clock. 系统时钟可以是 32MHZ 石英振荡器,也可以是16MHZ RC

2011-10-21 20:09:39 7307 3

单片机实时时钟和温度测量程序

在keil 编译环境下建的工程文件,使用c语言编程。可以实现温度测量、时钟年月日时分秒的实时显示;按键控制模式切换,设置温度范围;报警。

2011-11-04

单片机实时时钟加温度测量程序

在keil编译环境下建的工程文件。使用c语言。使用的是stc89c52单片机,用了18B20,ds1302,1602,实现了温度的测量和实时时钟的显示。

2011-11-03

单片机实时时钟和温度测试程序

这个工程在keil环境下使用c语言编的。使用了stc89c52 lcd1602 18B20 1302 ,按键可控 报警。可以正常工作,自己编的。

2011-11-03

超声波测距(自己实测过的)

使用keil 的建的工程,c语言编的。根据网上的资料改写的。自己亲自试过,至少可以测两米的距离。

2011-11-03

电子技能比赛模拟题程序

2011年电子技能比赛模拟题,自己编的程序,分享一下,大家来交流一下。

2011-11-03

单片机控制AD9850的数字信号发生器C51程序11

直接数字式频率合成技术(DDS)是一种先进的全数字频率合成技术,它具有多种数字式调制能力(如相位调制、频率调制、幅度调制以及I/Q正交调制等),在通信、导航、雷达、电子战等领域获得了广泛的应用。在项目中光栅传感系统高频并行解调算法的FPGA实现我们的光纤通信模块用到DDS。在电子设计中一般使用的AD9850,用单片机控制AD9850,在本文中提供了相应的程序,并有相应的解释。。

2011-05-03

运算放大器的应用技巧

目前举办的各种电子竞赛选题中,相关的模拟电路部分一般会首选运算放大器的应用问题;而扩展部分也必然牵扯到集成运放的使用技巧和灵活运用。因而,相关集成运放电路的设计技巧是我们应该关注的首要问题。本ppt中通过几个例子来说明运放电路设计中的一些具体技巧问题。如: 输入端电阻匹配问题,电阻选择问题,传感器放大相关问题,接口电路输入电阻过小等等工程实践中的一些实际问题。在本ppt中都给予了详细的探讨。。

2011-04-16

STC12C5A60S2data sheet

89c52的增强版,具有A/D 的转换功能,比较实用的单片机。

2011-04-02

史上最实用较深刻的峰值检测电路实例与分析

这个资料中的峰值检测电路经过我亲自的尝试,是可以用的。

2011-04-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除