自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(41)
  • 资源 (1)
  • 收藏
  • 关注

原创 pytorch 训练正常,测试显存爆掉问题解决

pytorch 训练正常,测试显存爆掉问题解决Intropytorch versioncudnn versionpytorch训练正常但是在预测的时候显存爆掉,错误信息如下暂时解决方法:[参考链接](https://discuss.pytorch.org/t/strange-gpu-memory-behavior-strange-memory-consumption-and-out-of-memo...

2019-01-03 11:27:43 6279

原创 MaxPooling的作用 and some tips about CNN

摘抄记录: MaxPooling Another important concept of CNNs is max-pooling, which is a form of non-linear down-sampling. Max-pooling partitions the input image into a set of non-overlapping rectangles and, fo

2016-09-15 19:03:30 1435

原创 解决Jetson Tegra TK1 编译 YOLO Darknet出现的不支持,compute_52 错误

修改Makefile: 源代码: ARCH= –gpu-architecture=compute_52 –gpu-code=compute_52改为: ARCH= –gpu-architecture=compute_20 –gpu-code=compute_20

2016-09-06 11:24:55 3697 2

原创 训练Faster R-CNN出现的errors

1. assert (boxes[:, 2] >= boxes[:, 0]).all() AssertionError目前临时解决的方法: 在 imdb.py中:for b in range(len(boxes)): if boxes[b][2] < boxes[b][0]: boxes[b][0] = 0asser

2016-09-02 16:30:20 1114 1

原创 在Faster R-CNN 中DEMO 的CPU(i5)和GPU(GTX1060 )时间对比

软硬件环境:Ubuntu 14.04 64bit NVIDIA GeForce GTX 1060 6GB Intel® Core™ i5-6500 CPU @ 3.20GHz × 4 8GB memory CUDA 8.0 cuDNN 5.0 zf net使用CPU的时间:~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~Demo for data/demo/0

2016-08-31 10:27:04 2767 1

原创 Faster R-CNN 和最新的版本cuDNN V5.0不兼容问题

Faster R-CNN 和最新的版本cuDNN V5.0不兼容问题软件硬件环境: Ubuntu 14.04 64bit NVIDIA GTX1060 cuda 8.0 cuDNN 5.0 错误如下:解决方法:cd py-faser-rcnn/caffe-fast-rcnn Git remote add caffe https://github.com/BVLC/caffe.git

2016-08-30 21:09:12 5793 2

原创 二维数组的动态内存分配

#include <malloc.h>#include <iostream>using namespace std;int main(int argc, char **argv){ int n; int m=2; cout << "请输入通信录的个数: " << endl; cin >> n; int **memo; memo = (int**) ma

2016-03-25 22:37:15 1414

原创 可否强制修改常量的值?

#include <iostream>using namespace std;int main(){ int *p; const int DATA = 100; p = (int*) &DATA; //(int*) 此处需要强制类型转换 因为&DATA的类型为const int* cout << "Old *P is " << *p << endl; *

2016-03-25 16:53:36 1067

原创 C++分离类模板的声明和定义

成员函数在体外的定义格式为: template 返回值类型 类名::成员函数名(参数列表) { //类模板内容 };实例:#include <iostream>using namespace std;template <class T> class MAX //类模板 { public: MAX(T v1, T v2); T getmax(M

2016-03-19 10:43:22 655

原创 并行编程1:CUDA基础

CUDA(Compute Unified Device Architecture,统一计算架构[1])是由NVIDIA所推出的一种集成技术,是该公司对于GPGPU的正式名称。通过这个技术,用户可利用NVIDIA的GeForce 8以后的GPU和较新的Quadro GPU进行计算。亦是首次可以利用GPU作为C-编译器的开发环境。NVIDIA营销的时候[2],往往将编译器与架构混合推广,造成混乱。实际上

2016-03-16 19:40:36 1350

原创 拷贝构造函数

A *foo(A a){ cout << "-----foo enter-----"<< endl; A *p = new A(); cout << "-----foo exit------"<< endl; return p;}A *boo(const A& a) { cout << “———-boo enter——–”<

2016-03-15 16:33:08 702

原创 【ZYNQ-7000开发之十六】音频信号处理

本编文章将使用Zynq开发平台Miz702上的ADAU1761音频编解码芯片,进行采样模拟音频信号(2路),转成数字信号,然后再通过ADAU1761转成模拟信号输出(2路)。在此基础上通过左右声道相减,简单的实现人声消除。本文不介绍ADAU1761驱动的编写方法,直接采用 Ali Aljaani编写的IP。 ADAU1761参数的配置参考 ADA1761 Datasheet本文所使用的开发板是Mi

2016-03-15 16:25:07 9680 2

原创 【ZYNQ-7000开发之十五】Vivado HLS和OpenCV_下

本篇文章在上篇文章【ZYNQ-7000开发之十四】的基础上,利用OpenCV HLS的函数,hls::Sobel,编写边缘检测算法,仿真测试,创建IP,最后在vivado里测试Sobel IP,此外本篇文章的实验还要基于 【ZYNQ-7000开发之十】TGP+VDMA+HDMI搭建视频通路

2016-03-11 18:40:41 13228 13

原创 【ZYNQ-7000开发之十四】Vivado HLS和OpenCV_上

本篇文章对Vivado HLS以及OpenCV HLS进行简要介绍,并且利用OpenCV HLS的函数,hls::Sobel,编写边缘检测算法,仿真测试,创建IP,最后在vivado里测试Sobel IP关于HLS详细内容请参考官方文献ug902-vivado-high-level-synthesis *本文主要参考文献: how_to_accelerate_opencv_application

2016-03-08 19:46:06 8125

原创 【ZYNQ-7000开发之十三】中断:私有定时器中断

上篇文章实现了了PS接受来自PL的中断,本片文章将在ZYNQ的纯PS里实现私有定时器中断。每个一秒中断一次,在中断函数里计数加1,通过串口打印输出。*本文所使用的开发板是Miz702(兼容zedboard) PC 开发环境版本:Vivado 2015.2 Xilinx SDK 2015.2*中断原理中断对于保证任务的实时性非常必要,在ZYNQ里集成了中断控制器GIC(Generic Interr

2016-03-06 11:32:01 4914

原创 【ZYNQ-7000开发之十二】中断:PS接收来自PL的中断

本篇文章主要介绍外设(PL)产生的中断请求,在PS端进行处理。 在PL端通过按键产生中断,PS接受到之后点亮相应的LED.本文所使用的开发板是Miz702(兼容zedboard) PC 开发环境版本:Vivado 2015.2 Xilinx SDK 2015.2 搭建硬件工程建好工程后,添加ZYNQ IP双击 ZYNQ,打开Re-customize IP对话框,使能IRQ_P2P使能UART1

2016-02-25 13:10:32 16920 9

原创 【ZYNQ-7000开发之十一】VGA RLT代码封装成AXI Stream

本编文章将对VGA的RTL代码,封装成AXI Stream,并且在vivado 里用TPG进行测试本篇文章的VGA RTL代码在【ZYNQ-7000开发之一】基础上修改,封装好的VGA Stream可以方面我们实现视频图像处理

2016-01-29 21:28:05 5643

原创 【ZYNQ-7000开发之十】TGP+VDMA+HDMI搭建视频通路

本编文章在ADI官方HDMI例程的基础上进行修改,实现视频通路,为使用ZYNQ视频处理做好必要准备。在 【ZYNQ-7000开发之九】使用VDMA在PL和PS之间传输视频流数据 这篇文章中,介绍了如何使用VDMA传输stream类型的视频流数据,本次实验将结合【ZYNQ-7000开发之三】ZYNQ平台的HDMI驱动测试这篇文章,本次实验默认大家已经完成了【ZYNQ-7000开发之三】和【ZYNQ-7

2016-01-26 19:32:56 13319 10

原创 【ZYNQ-7000开发之九】使用VDMA在PL和PS之间传输视频流数据

VDMA可以把AXI4-Stream 类型的视频流通过S2MM,写入到DDR3中,反之也可以通过MM2S读入到VDMA接口的外设中。通过内嵌FPGA逻辑分析仪进行观察数据。

2016-01-14 11:35:02 21699 14

原创 ADI官方 HDMI IP core使用的问题

在这个路径下:\hdl\library\axi_hdmi_tx 打开axi_hdmi_tx_ip.tcl 可以看到以下的代码: adi_ip_create axi_hdmi_tx adi_ip_files axi_hdmi_tx [list \ "$ad_hdl_dir/library/common/ad_axi_ip_constr.xdc" \ "$ad_hdl_dir/libr

2016-01-09 11:11:56 3128

原创 AXI 调试波形记录

升级了Evernote,把密码忘了,暂时登录不了。现在这记录下吧。 驱动代码: int main() { init_platform(); xil_printf("----------The test is start......----------\n\r"); Xil_Out32(XPAR_AXI_VDMA_0_BASEADD

2016-01-07 21:23:13 3252 1

原创 【ZYNQ-7000开发之八】OLED的驱动

发现了个很好用的OLED IP,和大家分享下,顺便轻松下,这几天调试太坑。本系列文章尽可能的让每一个实验都相对独立,过程尽可能保证完整性,保证实验的可重现性。 但是用到的模块或者IP的具体作用和用法不保证都重复详细的介绍。本文所使用的开发板是Miz702(兼容zedboard) PC 开发环境版本:Vivado Design Suite 2015.2建立硬件工程新建工程选择Zedboard,点击

2016-01-02 16:18:06 4344 6

原创 近日工作小结_2015年12月26日01:41:15

zynq zynq还是在研究zynq,从十月份到现在已经快三个月了啊,需要的时间比我想的久了点啊,不过确实挺有搞头。 PS:感觉zynq的官方提供的API函数晦涩难懂啊,层层封装,反而不如直接用底层的函数实现来的清晰。可能现在还不习惯吧。下一步要分配时间研究下机器学习和图像处理算法的实现了。 &HLS or SDsoc 加速设计的实现…….

2015-12-26 01:46:15 1143

原创 【ZYNQ-7000开发之六】使用PS控制DDR3的读写

本编文章的目的主要用简明的方法对DDR3进行读写,当然这种方式每次读写都需要CPU干预,效率是比较低的,但是这是学习的过程吧。本系列文章尽可能的让每一个实验都相对独立,过程尽可能保证完整性,保证实验的可重现性。 但是用到的模块或者IP的具体作用和用法不保证都重复详细的介绍。本文所使用的开发板是Miz702(兼容zedboard) PC 开发环境版本:Vivado 2015.2 Xilinx SDK

2015-12-25 12:46:12 13558 1

原创 Xilinx SDK API函数的使用方法 未完待续

本文主要参考Xlinx的Device Driver Programmer GuideDevice Driver特点

2015-12-23 11:09:41 7880

原创 【ZYNQ-7000开发之七】AXI CDMA特点以及在PS端使用的步骤_理论部分 未完待续

本编文章是AXI Central Direct Memory Access v4.1的阅读笔记简介:AXI CDMA 拥有AXI4的全功能,为嵌入式系统提供了高性能的片上互联 。

2015-12-22 01:12:26 10431 1

原创 【ZYNQ-7000开发之五】PL和PS通过BRAM交互共享数据

本篇文章目的是使用Block Memory进行PS和PL的数据交互或者数据共享,通过zynq PS端的Master GP0端口向BRAM写数据,然后再通过PS端的Mater GP1把数据读出来,将结果打印输出到串口终端显示。涉及到AXI BRAM Controller 和 Block Memery Generator等IP的使用。

2015-12-20 21:50:55 25706 18

原创 【ZYNQ-7000开发之四】在PS端使用AXI DMA传输的步骤

本篇文章简要总结下AXI DMA在ZYNQ PS端的初始化方法。本文摘抄自xilinx SDK的API文档,更加详细的内容请参考官方文档,这里只提取了关键部分。AXI DMA有两种模式,非Scatter Gather和Scatter GatherScatter Gather mode性能更好,更消耗逻辑资源,使用更复杂一些。非Scatter Gather mode则相反。

2015-12-16 01:48:36 14527 2

原创 近日工作小结_2015年12月9日21:32:01

目前来说课题的进度按照原计划正常进行着,没有发现原有方向有什么大的问题。但是方案分支多出了许多选择,艰难的选择...每种方法都试的话时间估计不够。zynq已经接触两个月了,感觉信息量还是挺大的----Linux、axi、hls、SDSoC......正在紧急补脑中。opencv的并不是所有的函数都可以在HLS里使用(我要用的没有...),看来未来有一场coding恶战了..

2015-12-09 21:31:21 1129

原创 【ZYNQ-7000开发之三】ZYNQ平台的HDMI驱动测试

高清显示是ZYNQ的一大特色,显示有利于观察数字图像处理的输出和人际交互。在本篇文章中,将在Xilinx ZYNQ平台上对HDMI进行测试,参考ADI的官方Demo。这个Demo涉及到vivado自动化工程TCL脚本文件的使用,PL和PS的联合处理,AXI接口的使用。本文所使用的开发板是Miz702(兼容zedboard)PC 开发环境版本:vivado 2015.2 SDk 2015.2

2015-12-08 00:57:26 19387 22

原创 Vivado AXI Reference Guide 阅读笔记

本文是UG1037 Vivado AXI Reference Guide的阅读摘抄笔记,希望帮助大家迅速了解AXI。Introducing AXI

2015-12-01 23:51:49 4218 1

原创 ubuntu+virtualbox 安装USB摄像头 问题

今天在ubuntu上安装USB摄像头失败,显示显示 空间不足,然后又死机...问题还没有解决,后续解决问题,先占个位置。

2015-11-30 23:37:08 1580

原创 【ZYNQ-7000开发之二】QT+OpenCV的边缘检测测试

在本篇文章中,将实现在Xilinx ZYNQ上用QT+OpenCV实现显示图像,将使用OpenCV上的边沿检测算法进行演示。

2015-11-28 22:56:20 7099

原创 【ZYNQ-7000开发之一】PL部分驱动VGA显示静态彩色图像

在本篇文章中,将实现在Xilinx ZYNQ上实现用PL部分驱动VGA显示12bit的彩色图像,涉及到VGA的驱动原理,vivado上的PLL IP和ROM IP的使用以及彩色图像coe文件的生成。本文所使用的开发板是Miz702(兼容zedboard),软件开发环境:vivado 2015.2

2015-11-18 22:34:55 10204 1

原创 近日工作小结_2015年11月11日0:10:48

最近主要在搞Linux相关的开发环境,遇到的问题好多,经过这几天的高强度努力,好多问题终于有了着落。同时也发现,Linux 特别是ubuntu确实不错。

2015-11-11 00:10:16 695

原创 CUDA C 最精简的标准格式

file:cuda_test.cu#include __global__ void add(int x, int y, int* z){ *z = x + y; printf("This is just a test for CUDA C!\n");}int main(void){ int c; int *dev_c; cudaMallo

2015-10-25 01:39:41 627

原创 Zynq 平台运行SDK程序错误以及解决方法

今天调试了一下Zynq的PL和PS部分,所用的平台是Miz702 。PL部分还算顺利,但是PS部分出现了一些问题:问题1:在SDK程序编译通过后,点击RUN 出现以下错误:Zynq MMU page translation fault我的解决方法:把SD卡拔掉或者选择Reset Entire System 而不是选择Reset Process问题2:刚开始是可以正常运行的,有一次修改完

2015-10-11 23:04:24 9619

原创 解决Qt 运行错误 错误代码 : code -1073741571

Qt 用着确实挺方便,以前没用过C++ 有些概念理解不好。在用Qt 绘制坐标系时 点击 RUN 出现以下错误:Application Output:Starting F:\Qt_Code\Qt_Table\Qt_table-build-desktop-Qt_4_8_4__4_8_4__Debug\debug\Qt_table.exe...The program has unex

2015-10-11 12:19:44 12827 2

原创 调试Qsys出现的一些问题总结

今天在Altera cyclone IV 上调试NIOS,遇到了好多问题,不过还好最终都解决了。 问题如下:1.配置NIOS ii 时,如果出现不能把RAM作为 Reset Vector 和 Exception Vector 如图所示:则可能的原因是这里的连接有误。如图所示:2.出现Connected system ID hash not found on

2015-10-05 23:31:57 3427

原创 回归分析:单变量线性回归

首先用R语言构造数据点> y <- c(5, 7, 9, 11, 16, 20)> x <- c(1, 2, 3, 4, 7, 9)> plot(x,y)绘制的散点图图像如下所示用lsfit(x,y)计算回归直线方程的谢啦和截距以及残渣输入如下所示:> lsfit(x,y)产生的结果如下:$coefficientsI

2015-10-05 12:35:14 3105

zedboard OLED IP

很好用的zedboard OLED IP

2016-01-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除