自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(52)
  • 资源 (10)
  • 问答 (2)
  • 收藏
  • 关注

原创 Error casting p_sequencer, please verify that this sequence/sequence item is intended to execute

1、在搭建UVM环境的时候出现这个错误,发现seq0里面 调用了`uvm_declare_p_sequencer(my_vsqr) 和seq0.start(p_sequencer.p_sqr0);不一致导致的,应该传入的sequencer是env.vsqr(其中vsqr是my_vsqr使用factor机制注册的新句柄)...

2021-09-06 10:44:27 3233 3

原创 python 在sublime上搭建谷歌翻译器平台

1、 首先安装python相应的数据包,使用清华镜像源安装pip install google_trans_new -i https://pypi.tuna.tsinghua.edu.cn/simplepip install requests -i https://pypi.tuna.tsinghua.edu.cn/simple需要requests 和google_trans_new 两个库来支持,其中google_trans_new 是google翻译所需要的库2、然后安装sublime,直

2021-01-22 15:50:15 388

原创 system verilog如何使用二维动态数组

program dynamic_array; //dynamic array declaration bit [7:0] d_array[][]; initial begin //memory allocation d_array = new[3]; //首先创建第一层的 foreach(d_array[i]) d_array[i] = new[2]; //然后创建第二层的 //assigning .

2020-12-02 19:43:24 4655 2

原创 UMV平台的搭建

在芯片验证中,验证是非常重要的一环,UVM是现在的主流,希望那些想做芯片验证的同学,这个教程能够帮你节省搭建平台的时间1、需要一个VCS,这个在网上找教程吧,但是很多关于环境配置的东西可以参考我uvm的配置2、搭建UVM平台首先需要有一个uvm的源文件uvm源文件以及一些demo3、需要一个makefile,这个基本就是直接决定你的uvm能用不,代码如下all: clean compile runexport seed ?=1 #export 就是可以在外界传参

2020-09-30 17:21:24 1245

原创 the two types of module port connections,by ordered list and by name,shall not be mixed

我的case明明是按端口来链接的,但是却报错mixed port connection is not allowedthe two types of module port connections,by ordered list and by name,shall not be mixed这种原因是因为例化的端口中少了一个 . ,比如a a(.clk(clk), rst(rst),.data(data));中间的rst没有加 . ,所以会报错the two types of mod...

2020-08-12 17:11:26 5317 5

原创 python如何写数据到csv文件中

使用csv保存数据是一种非常常见的方式,csv数据也很方便移植到excel中去经常会遇到这样的问题,我有一百行数据一百列数据,我应该怎么快速放到excel里面去,我的答案是先保存到csv中,然后再复制粘贴到excel中。代码如下import csvresults_file = open('results.csv','w', newline='')list1 = []for i in range(100): list1.append([num1, num2, num3, num4

2020-07-01 17:38:38 849

原创 如何使用vpd来dump波形

fsdb是经常用来dump波形,但是使用的时候可能也会存在一些层次需要特殊设置才能dump,这样就会导致对于一些特殊情况较难处理,但是vpd波形是vcs自带的,所以对于某些情况就特别好用,将以下命令存在dump_vpd.do的脚本中,然后通过在仿真过程中使用ucli将脚本feed进去,这样就会dump相应的波形了,这样的好处就是可以指定相应的层次,而且是在仿真的时候feed进去的,也就意味着不用再编译了。dump -add { dut_st.my_dut} -depth 0 -sc...

2020-06-10 11:03:49 1526

原创 如何处理python多进程的中断

from multiprocessing import Poolimport time, osdef excute_case(x): time.sleep(2) for i in range(10): print("iiiiiii = %0d",i)pool = Pool(3) #进程池里面放三个,每次只能执行三个进程,pool.m...

2020-03-02 12:28:13 2092

原创 使用python调用其他文件夹下的makefile

由于业务需要跑仿真的时候将所有的测试用例放在不同的文件夹下面跑,所以需要调用不同文件夹下的make fileimport os from multiprocessing import Process, Queue, Poolimport time, datetime#首先判断文件夹是否存在,以免覆盖之前的文件夹了count =0for i in range(10): #只...

2020-02-28 11:44:54 1569

原创 python实现生产者消费者

写这篇博客的原因是因为很多生产者消费者都在两个class里面,这会导致在集成的时候不是那么方便import multiprocessingimport threadingfrom multiprocessing import Process, Queueimport time, random, oslistcase1 = ["route_{}".format(i) for i i...

2020-02-27 10:58:40 235

原创 如果安装Python psutil

我不明白为什么很多人把这东西写的很复杂1、python 安装就是pip install pkg(例如pip install numpy),如果你是python3就需要用pip3 install,这种情况主要是因为一般服务器上都有两个python2、python卸载就是pip uninstall pkg(pip uninstall numpy)安装psutil流程:1、pip se...

2020-02-25 13:48:29 191

原创 systemverilog怎么使用function的return以及给task返回值

systemverilog的return默认就是function的name,代码如下,但是这种情况只能返回一个值无法返回多个值,如果有网友会多个返回值的可以在留言里面分享一下class ecc_code; int ab; function bit[15:0] EDO(bit [10:0] EDI); EDO[0] = EDI[0]^EDI[1]^EDI[2]...

2019-12-10 16:50:10 12106

原创 VCS compiler ‘VCS_HOME‘ not found.

Error-[VCS_COM_UNE] Cannot find VCS compiler VCS compiler 'VCS_HOME' not found. Environment variable /usr/synopsys/G-2012.09/linux (/usr/synopsys/G-2012.09/linux/bin/vcs1) is selecting a directory...

2019-06-24 21:44:51 8015 5

原创 systemvrilog 怎么优雅的格式化输出

sv怎么格式化输出for(int i=0; i<8; i++)begin $display($sformat("hahahah[%0d]"), i);end其实和其他语言差不多,都是使用format函数来对他格式化输出%0d代表着整数,%s代表字符串the object at dereference depth 0 is being used before it wa...

2019-06-21 10:19:07 2021

原创 sv怎么格式化输出

for(int i=0; i<8; i++)begin $display($sformat("hahahah[%0d]"), i);end其实和其他语言差不多,都是使用format函数来对他格式化输出%0d代表着整数,%s代表字符串

2019-06-12 16:42:44 2722

原创 张强UVM debug

这种错误往往就是你的命令输错了,比如你实例化的句柄是tr,你输成了tf,最快的办法就是将给出的提示(一般会用双引号提示出来)进行搜索,你会发现很低级的错误,一般错误报告里面会告诉你哪些行错了这个错误就是相应的信号找不到了,需要修改某个信号找不到了,需要去追这个信号是否存在或者路劲是否更改 the object at dereference depth 0 is b...

2019-06-11 16:11:26 9188

原创 如果读取分割的数据集和label

首先你需要给你的数据统一命名,也就是数据集和label的前缀是一样的,其次,两个在不同的文件夹下,这样就可以提出一种想法,如果文件是validation.jpg,label是validation.png,直接截取文件中的名字validation,然后根据路劲直接读取,如果路径是/data0/data/fenge,那么存在validation.jpg文件名的一定会存在validation.png,所...

2019-03-20 15:24:14 981

原创 python matplotlib这么同时显示多张图片在同一个图中

CIFAR10读进来的图像的的维度是(50000,32,32,3),插入以下代码就可以用了,img_test = images_test[:32, :, :, :]选取前32张显示plt.figure()for i in range(1,32): plt.subplot(4,8,i) plt.imshow(img_test[i-1])plt.show()这...

2019-03-02 17:36:29 63276 10

原创 python实现最长不重复子串并打印

class Solution(): def longest_str(self, array): arr = [] total = [] for i in range(len(array)): arr2 = array[i] num = 1 for j in range...

2018-08-25 12:01:07 644

原创 python小应用

调用python中的os模块,使用listdir读取文件夹的名字,然后将名字转化为整数,因为sort只有对整数才能正确排序。 a = os.listdir('imgs')a.sort(key=lambda x:int(x[3:-4]))   ...

2018-08-23 09:53:36 336 2

原创 论文检测的字体未嵌入问题Times New Roman,Bold, Times New Roman,Italic is not embedded解决方法

Adobe Acrobat,下载后你打开你的pdf文件,选择最左上角的文件,属性,进入字体,在这里可以看到你的文件是什么状态  打开你要的word,点击左上角的文件如图所示,选择打印 下图所示的是两个细节,一个是可以选择打印机,第二是打印机属性,点击进入打印机,顺便说一下博主用的是word2013,其他版本应该也是类似的,应该问题都不大,如果有问题就下载一个2013吧。 进入属性后,会出现如...

2018-08-15 10:08:20 5829 5

原创 如果使用fastRCNN跑demo

Fast Region-based Convolutional Networks for object detection根据上面这个网址开始配置,本次博客的主要目的是介绍有哪些坎。Installation (sufficient for the demo)1. Clone the Faster R-CNN repository # Make sure to clone w...

2018-08-03 12:36:57 570

原创 跑fast-RCNN时fatal error: nsync_cv.h: No such file or directory

/home/user/anaconda3/lib/python3.6/site-packages/tensorflow/include/tensorflow/core/platform/default/mutex.h在错误的旁边会有这样的地址,你需要vi mutex.h这个文件,然后将里面的#include "nsync_cv.h"#include "nsync_mu.h"改为#...

2018-08-02 21:59:04 2015

原创 subline怎么 如何连接 FTP/SFTP ——图文详细教程

https://blog.csdn.net/messicr7/article/details/73468610这个网址很全面的讲了应该怎么配置,怎么使用,如果出现下面这个错误,你可以将你的所有中文的文件名字改成英文就好了...

2018-07-30 23:28:43 308

原创 如何制作将分割数据集封装成tfrecords

import osfrom PIL import Imageimport tensorflow as tfIMAGE_SIZE = 224#数据集的地址,最后的反斜杠别漏掉了train_label_path=r'/home/user/python/tensorflow_1/FCN.tensorflow-master/Data_zoo/MIT_SceneParsing/ADEChal...

2018-07-24 10:50:54 804 9

原创 tensorflow实战采坑

这次也是随性想记载下来,以后遇到的奇奇怪怪的坑,都会记载。Can not convert a ndarray into a Tensor or Operation.   data, lab = aa.data_batch()for i in range(100): data,lab= sess.run([data,lab])#只需要把输出的名字改了就好了,不和之前的相同da...

2018-07-19 22:19:54 136

原创 tensorflow从本地读取数据

#思路是生成一个txt文件,然后用txt文件来标记labelimport osimport globimport scipy.misc as miscfrom PIL import Imageimport numpy as npimport tensorflow as tfpath = "/data0/user/data/fenlei/datadir"class Batc...

2018-07-19 20:58:40 1637

原创 如何使用将本地图片封装成一个四维矩阵

def __init__(self,image_options,path): self.image_options = {'resize': True, 'resize_size': IMAGE_SIZE} self.path="/data0/user/data/fenlei/datadir" def _read_images(self): ...

2018-07-19 17:26:56 1694

原创 opencv趟坑记

0x00007FFBCD2EA388 处(位于 Project1.exe 中)有未经处理的异常: Microsoft C++ 异常: cv::Exception,位于内存位置 0x0000005EB36FF2F8 处。这种错误一般是图片的路径不对,要么把图片房间你生成的工程里面,project1是我默认的工程名字,把图片放进内部的Project,里面会有cpp这些文件。或者例如将imread(“E...

2018-07-13 16:37:47 247

原创 visual studio和opencv的配置

关于visual的使用,参考https://blog.csdn.net/qq_36556893/article/details/79430133,opencv的配置点击打开链接如果没有配置好,第一,确定你的画圈的选项是x86还是x64,x64就是64位,x86就是32位的系统第二,如果运行发现跑不通,说文件缺失,直接把E:\Program Files\opencv\opencv\build\x64...

2018-07-13 16:11:56 873

原创 如何将txt文件读取出来,然后把里面的数字写成.dat文件

file_name=r'/home/user/python/dataset/model_data5.txt'file=open(file_name,'r')data = file.read()#read the whole binary file to stringfile.close()data =data.replace('\n','').replace('\t','')# da...

2018-07-07 16:16:35 3227

原创 python如何删除txt里面的\n,\t

假设你有一个file_name='data5.txt'的文本,file=open(file_name,'r')data = file.readlines() # 必须选择readlines,不能选择readfor i in data: #你会发现i其实是一个字符串,如果你要读取数据,就选择字符串的多少位。 print(i[0])常规的还有# a=''.join(line.spl...

2018-07-07 10:32:41 10449 1

原创 python 怎么将图片写成.dat文件

import osimport sysfrom PIL import Imageimport numpy as npBytes = 4 #Every line have Bytes bytesDataAddr = 512file_name=r'/home/user/long.jpg'img=Image.open(file_name)img=img.resize((56,56)...

2018-07-06 23:24:46 5334

原创 怎么在ubuntu16.04安装 verilator

第一步:sudo apt-get update第二步:dpkg -L verilatordpkg-query: package 'verilator' is not installedUse dpkg --info (= dpkg-deb --info) to examine archive files,and dpkg --contents (= dpkg-deb --contents) t...

2018-07-03 20:58:12 3348 1

原创 Can't connect to X11 window server using ':0.0' as the value of the DISPLAY variable.

首先试了一下unset DISPLAY然后export DISPLAY=:0.0source /etc/profile主要我觉得是因为opt这个文件夹磁盘太满了,需要删除,cd进入home,然后输入du -sh ./*删除占用磁盘大的空间,然后重启Xmanager,基本就好了。...

2018-07-01 13:35:04 1941 1

原创 tensorflow模型提取,和使用模型做测试

如果我已经通过saver = tf.train.Saver()保存了一个模型,然后我要读取模型,代码如下saver = tf.train.Saver()gpu_options = tf.GPUOptions(allow_growth=True) with tf.Session(config=tf.ConfigProto(log_device_placement=False, a...

2018-06-28 21:39:21 1875

原创 如何使用numpy保存多为数组

with open('model_data.txt', 'w') as outfile: # I'm writing a header here just for the sake of readability # Any line starting with "#" will be ignored by numpy.loadtxt outfile.write('# Arr...

2018-06-21 11:55:33 2272

原创 如何使用matlab将RGB图片数据转化为IEEE784编码

clc;clear;fout=fopen('data.txt','w');aa=imread('/home/hehe/python/load_cifar10/datadir/desk/desk105.JPEG');for i=1:1:100 for j=1:1:100 %fprintf('value of a: %d\n',i); bb=aa(:,:,1); %将...

2018-06-14 21:42:50 389

原创 如何用tf.data读取tfrecord数据4

使用tf.data数据来读取数据,代码如下def read_and_decode(file_name,shuffle=True): def parser(record): features = tf.parse_single_example(record, features={ ...

2018-06-13 16:24:12 3210 3

翻译 InvalidArgumentError (see above for traceback): targets[0] is out of range

说解决方法之前,先介绍tf.in_top_k(predictions, targets, k, name=None):predictions:shape=[batch_size,num_classes],type.flot32targets (the correct label): shape [batch_size], type int32 or int64如果predictions的num...

2018-06-03 22:44:43 5866

Modelsim_crack.zip

Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口。

2020-10-05

primitive.zip

使用csv保存数据是一种非常常见的方式,csv数据也很方便移植到excel中去 经常会遇到这样的问题,我有一百行数据一百列数据,我应该怎么快速放到excel里面去,我的答案是先保存到csv中,然后再复制粘贴到excel中。

2020-07-01

如何使用python生成.coe文件

在使用vivado的时候经常需要面临将一张图片转化为。coe文件进行测试,但是网上的众多代码都比较乱,目前没有找到比较合适的,这个文件对大部分东西都进行了标注,很容易上手,只需要一个python就好了

2019-03-19

matlab生成coe文件

网上大部分的博客写的很乱,而且不能使用,这里面是一套完整的代码,加使用说明,很好的帮助你去生成.coe文件,用于vivado仿真。

2018-07-05

手把手教你制作tfrecord数据集

代码完整的教你怎么制作训练集,怎么制作测试集,怎么随机打乱数据,已经怎么调用制作好的数据集,详细教程,包学包会。

2018-05-28

深度学习中文版

本版本无任何广告,无任何水印,不管是电脑上面看,还是打印,都是特别棒的选择。这本书对各类读者都有一定用处,但我们主要是为两类受众对象而写的。其中 一类受众对象是学习机器学习的大学生(本科或研究生),包括那些已经开始职业 生涯的深度学习和人工智能研究者。

2017-11-22

如何在ubuntu16.04正确安装opencv

ubuntu16.04安装opencv有各种各样的陷阱,在我的这个文档中,可以解决你的ubuntu16.04对于opencv的安装,和正常使用。

2017-10-30

斯坦福大学公开课 :机器学习课程吴恩达

人工智能的发展到已经进入了一个瓶颈期。近年来各个研究方向都没有太大的突破。真正意义上人工智能的实现目前还没有任何曙光。但是,机器学习无疑是最有希望实现这个目标的方向之一。斯坦福大学的“Stanford Engineering Everywhere

2017-10-02

深度学习 人工智能算法

Ian Goodfellow(伊恩·古德费洛)、[加]Yoshua Bengio(约书亚·本吉奥)、[加]Aaron Courville(亚伦·库维尔)所著,这个版本没有广告,网上的其他版本都有广告超链接

2017-09-27

深度学习对乘加运算的优化

一种对乘加运算的优化,可以在互联的优化从数量n2降低到n,极大的节省了资源,不管是硬件上面或者是在软件上面,都是一种非常好的思想。

2017-09-21

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除