自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(43)
  • 收藏
  • 关注

原创 FPGA-Verilog实例----线性反馈移位寄存器(LFSR)

目录一、概述二、原理三、Verilog实现一、概述线性反馈移位寄存器(LFSR)的英文全称为:Linear Feedback Shift Register是移位寄存器的一种,通常用于在数字电路中产生伪随机数通过一定的算法对事先选定的随机种子(seed)做一定的运算(选取哪些位置 用于移位,是需要进行预先设计好的)可以得到一组人工生成的周期序列,在这组序列中以相同的概率选取其中一个数字,该数字称作伪随机数。这里的“伪”的含义是,由于该随机数是按照一定算法模拟产生的,其结果是确定的,是可见的,因此并不

2021-09-23 20:57:59 6965 3

原创 凸优化基础知识

目录一、计算几何理论中的表达式二、凸集是什么? 直线是凸集吗?是仿射集吗?三、三维空间中的一个平面,如何表达?四、更高维度的“超平面”,如何表达?五、凸函数、Hessian Matrix六、什么是“凸规划”?如何判别一个规划问题是凸规划问题。下例是凸规划问题吗?计算几何是研究什么的?计算几何研究几何模型和数据处理的学科,讨论几何形体的计算机表示、分析和综合,研究如何方便灵活、有效地建立几何形体的数学模型以及在计算机中更好地存贮和管理这些模型数据一、计算几何理论中的表达式1、计算几何理论中(或凸集

2021-07-12 12:40:23 305 1

原创 卷积神经网络的猫狗识别——基于Tensorflow和Keras

目录一、环境配置二、数据集的准备三、猫狗分类的实例——基准模型四、根据基准模型进行调整五、使用VGG19实现猫狗分类六、参考链接一、环境配置安装Anaconda3详细安装配置:https://blog.csdn.net/cungudafa/article/details/104573389配置TensorFlow、Keras①创建虚拟环境输入下面命令conda create -n tf1 python=3.6#tf1是自己为创建虚拟环境取的名字,后面python的版本可以根据自己需求进

2021-06-17 19:43:59 2299

原创 VGA协议与图像输出Verilog编程

目录一、VGA原理二、实现VGA端口生成自定义的汉字图案(一)、创建项目(二)、配置引脚参数(三)、编译工程一、VGA原理1、 VGA概念什么是VGA?VGA不是用来显示的那块屏幕,而是用来传输信号的接口。VGA全称是Video Graphics Array,即视频图形阵列,是模拟信号的一种视频传输标准。2、VGA接口描述VGA接口是一种D型接口,上面共有15针孔,分成三排,每排五个,其中比较重要的是3根RGB彩色分量信号和2根扫描同步信号HSYNC和VSYNC针,其引脚编号图如下所示:3、V

2021-05-27 23:48:46 875 2

原创 HLS编程环境入门——LED灯闪烁

目录一、HLS简介二、点亮LED灯——入门级HLS程序(一)、仿真1、环境配置2、新建工程3、代码编写4、进行 C 仿真与 C 综合(二)、烧录1、导出 HLS 工程生成的 IP 核2、添加新文件led.v将代码生成的 HLS IP 例化进工程当中3、连接板子,烧录程序一、HLS简介1、HLS是什么HLS是高层综合(High level Synthesis)是将C或者c++语言编译为FPGA能够读懂和运行的RTL级别的语言2、与VHDL或者verilog的比较优点:用高级语言完成期望在硬件电路上

2021-05-27 18:40:20 630 1

原创 verilog在线编程练习

目录一、门电路1、与门2、或非门二、组合电路三、时序电路一、门电路1、与门(1)创建一个实现“与”门的模块。该电路现在有三根线(a,b,和out)。导线a和b已经通过输入端口将值驱动到导线上。但是,电线out目前不受任何驱动。写一个assign声明驱动器out与信号的ANDa和b。(2)解决方案module top_module( input a, input b, output out );assign out=a&&b;endmodule

2021-04-27 11:13:00 820

原创 基于Nios II的软核流水灯

目录五一补上

2021-04-24 17:56:20 217

原创 机器学习数学基础梯度下降法之Python矩阵运算

文章目录一、梯度下降法的原理介绍(一)梯度下降法(二)梯度下降的相关概念及描述(三)梯度下降算法原理二、梯度下降法的一般求解步骤三、梯度下降法手工求解极值一、梯度下降法的原理介绍(一)梯度下降法梯度下降(gradient descent)主要目的是通过迭代找到目标函数的最小值,或者收敛到最小值。所以,它是一种常用的求解无约束最优化问题的方法,在最优化、统计学以及机器学习等领域有着广泛的应用。(二)梯度下降的相关概念及描述下山过程描述场景描述:一个人需要从山的某处开始下山,尽快到达山底。下山

2021-04-09 19:45:20 600

原创 FPGA设计入门——一位全加速器的实现

目录周末补上

2021-04-08 17:56:57 2114

原创 线性/非线性规划问题求解

目录1、线性规划问题的求解——Excel和python编程2、拉格朗日求解最优问题——条件极值参考链接1、线性规划问题的求解——Excel和python编程2、拉格朗日求解最优问题——条件极值参考链接过几天补上!...

2021-04-01 19:55:33 873

原创 Quartus-II入门--D触发器及时序仿真

目录Quartus-ll 的安装及使用认识触发器Quartus-II输入原理图及时序仿真Quartus-II调用D触发器及时序仿真Quartus-II用Verilog语言实现D触发器及时序仿真参考资料Quartus-ll 的安装及使用认识触发器Quartus-II输入原理图及时序仿真Quartus-II调用D触发器及时序仿真Quartus-II用Verilog语言实现D触发器及时序仿真参考资料下次一定!!!...

2021-03-31 16:54:11 1222

转载 数据的回归分析

目录 一、Excel线性回归数据分析二、判断线性回归是否成立三、鸢尾花Iris数据集1、Anaconda创建虚拟环境及安装对应的包2、LinearSVC(C)方式实现分类 一、Excel线性回归数据分析 这里使用excel的数据分析功能来分析父母身高与子女身高的关...

2021-03-22 19:57:31 3791

转载 gazebo 仿真 —URDF机器人建模

文章目录 URDF机器人建模URDF机器人建模的介绍URDF的概念URDF机器人模型的内容URDF机器人模型的标签说明 URDF机器人建模的实现创建URDF功能包编辑launch启动文件创建URDF模型创建小车底盘(圆柱体)创建左侧车轮创建右侧车轮创建前后支撑轮增加传感...

2021-03-21 20:40:19 1503

原创 机器人操作系统ROS入门

目录什么是ROS?ROS起源与发展ROS到底是什么?ROS的特点ROS优缺点在Ubuntu中安装ROS软件熟悉ROS命令,运行小海龟demo例子参考链接什么是ROS?ROS起源与发展● 本世纪开始,关于人工智能的研究进入了大发展阶段,包括全方位的具体的 AI ,例如斯坦福大学人工智能实验室STAIR(Stanford Artificial Intelligence Robot)项目,该项目组创建了灵活的、动态的软件系统的原型,用于机器人技术。● 在2007年,机器人公司Willow Garage和该

2021-03-02 21:38:35 312

转载 基于 JSP+Servlet+MySQL8.0的数据查询学生管理系统

目录

2021-01-11 10:32:16 419

原创 使用STM32F103的DAC功能实现音频输出

目录DAC简介准备输出一个周期2khz的正弦波——基于HAL库将一段数字音频歌曲数据转换为模拟音频波形输出(循环)总结参考DAC简介DAC 为数字/模拟转换模块,故名思议,它的作用就是把输入的数字编码,转换成对应的模拟电压输出,它的功能与 ADC相反。在常见的数字信号系统中,大部分传感器信号被化成电压信号,而 ADC把电压模拟信号转换成易于计算机存储、处理的数字编码,由计 算机处理完成后,再由 DAC输出电压模拟信号,该电压模拟信号常常用来驱动某些执行器 件,使人类易于感知。如音频信号的采集及还原就是

2021-01-09 16:02:04 5957 1

原创 PWM波形输出--STM32F103

目录PWM简介相关配置用STM32F103输出一路PWM波形参考PWM简介PWM是 Pulse Width Modulation 的缩写,中文意思就是脉冲宽度调 制,简称脉宽调制。它是利用微处理器的数字输出来对模拟电路进行控 制的一种非常有效的技术,其控制简单、灵活和动态响应好等优点而成 为电力电子技术最广泛应用的控制方式,其应用领域包括测量,通信, 功率控制与变换,电动机控制、伺服控制、调光、开关电源,甚至某些 音频放大器,因此学习PWM具有十分重要的现实意义。 其实我们也可以这样理解,PWM是一种

2021-01-08 17:06:31 1013 1

原创 基于Java--获取城市天气与给手机发送验证码--HTTP协议实践

目录

2021-01-04 19:43:41 147

原创 STM汇编实现LED闪烁

目录创建新工程汇编程序使用汇编实现LED闪烁参考创建新工程创建工程①点击Project,选择New uvison Project②选择文件保存的路径以及文件的名称环境配置①选择对应的芯片,本文章采用的STM32F103RC②运行环境选择,主要是CMSIS下选择CORE;Device下Startup,其中包含了启动文件自此,一个工程的建立就算完成了。然后添加文件①.鼠标右键单击Source Group 1,选择Add New Item to Group②.选择 Asm

2020-12-30 18:22:51 2474

原创 基于SPI接口的温湿度采集——OLED数据显示

目录SPI接口实验工具实验代码SPI接口简介:SPI是串行外设接口(Serial Peripheral Interface)的缩写。是 Motorola 公司推出的一种同步串行接口技术,是一种高速的,全双工,同步的通信总线。特点:优点支持全双工通信、通信简单、数据传输速率快、同步、全双工、非差分、总线式缺点没有指定的流控制,没有应答机制确认是否接收到数据,所以跟IIC总线协议比较在数据可靠性上有一定的缺陷。实验工具(1)、OLED屏显(2)、keil5实验代码这里使用的是l

2020-12-27 15:40:45 319

原创 Cisco Packet Tracer 实验

目录直接连接两台 PC 构建 LAN用交换机构建 LAN交换机接口地址列表生成树协议(Spanning Tree Protocol)虚拟局域网 VLANDHCP、DNS及Web服务器简单配置本实验需使用 Cisco Packet Tracer 软件完成。 请大家先了解VLSM、CIDR、RIP、OSPF、VLAN、STP、NAT 及 DHCP 等概念,以能够进行网络规划和配置。参考:1.https://www.bilibili.com/video/av33482059/2.https://blo

2020-12-27 12:02:42 809

转载 使用Altium Designer绘制stm32最小系统的PCB图

文章目录 一、绘制PCB的准备二、生成BOM表三、生成网络表四、绘制PCB(一)添加器件(二)布局(三)布线(四)覆铜(五)电气规则检查(六)添加Logo 五、PCB图输出为Gerber光绘文件六、总结七、参考资料 一、绘制PCB的准备 绘制原理图 参考链接:https://blog.csdn.net/qq_432...

2020-12-26 18:55:19 288

原创 Wireshark抓包实验

这里写目录标题准备数据链路层网络层传输层应用层本部分按照数据链路层、网络层、传输层以及应用层进行分类,共有 10 个实验。需要使用协议分析软件 Wireshark 进行,请根据简介部分自行下载安装。准备请自行查找或使用如下参考资料,了解 Wireshark 的基本使用:选择对哪块网卡进行数据包捕获开始/停止捕获了解 Wireshark 主要窗口区域设置数据包的过滤跟踪数据流**???? 参考1.官方文档 :https://www.wireshark.org/docs/wsug_htm

2020-12-26 00:18:36 1209

原创 计算机网络--基本命令使用

目录实验一:ipconfig实验二:ping实验三:tracert实验一:ipconfig✍ ipconfig 是微软操作系统的计算机上用来控制网络连接的一个命令行工具。它的主要用来显示当前网络连接的配置信息(/all 参数)1、使用 ipconfig/all 查看自己计算机的网络配置IPv6地址:IPv4地址:子网掩码(Subnet Mask):网关(Gateway):2、使用 ipconfig/all 查看旁边计算机的网络配置,看看有什么异同。✎ 问题你的计算机和旁边的计算机是否

2020-12-25 16:10:59 1808

原创 基于12C协议的AHT20温湿度采集

目录12C通信协议硬软件I2CAHT20测温湿度参考12C通信协议I2C通信协议(1) I2C 协议简介I2C 通讯协议(Inter-Integrated Circuit)是由 Phiilps公司开发的,由于它引脚少,硬件实 现简单,可扩展性强,不需要 USART、CAN 等通讯协议的外部收发设备,现在被广泛地 使用在系统内多个集成电路(IC)间的通讯。在计算机科学里,大部分复杂的问题都可以通过分层来简化。如芯片被分为内核层和 片上外设;STM32 标准库则是在寄存器与用户代码之间的软件层。对于通

2020-12-22 21:34:22 1022

原创 Ardunio IDE简单实现STM32板子的串口通信

目录准备工作准备工作环境:WindowsArdunio IDE的依赖环境由于ArduinoIDE依赖JAVA开发环境,所以需要提前安装JDK。JDK官方下载地址:https://www.oracle.com/java/technologies/javase/javase-jdk8-downloads.html下载Ardunio IDE官网下载链接:https://www.arduino.cc/en/software安装包下载链接:arduino-1.8.13最新安装包提取码:61

2020-12-22 20:11:49 320

原创 一个简单的个人介绍html网页制作

目录参考参考https://blog.csdn.net/xianyudewo/article/details/110647187

2020-12-05 19:57:45 27738 1

原创 SOCKET编程实例

目录参考:参考:https://blog.csdn.net/qq_43279579/article/details/110240423https://blog.csdn.net/xwmrqqq/article/details/110490983

2020-12-05 19:54:50 1320

原创 Teardrop原始套接字编程

目录一、介绍套接字二、Teardrop代码编程一、介绍套接字二、Teardrop代码编程

2020-12-05 19:49:01 224

原创 wireshark抓包分析TCP协议的三次握手连接、四次握手断开以及Fiddler抓包

目录1、wireshark抓包分析TCP协议的三次握手连接、四次握手断开2、Fiddler抓包1、wireshark抓包分析TCP协议的三次握手连接、四次握手断开2、Fiddler抓包

2020-12-05 19:42:22 433

原创 简单的STM32串口通讯

目录准备工作编写代码运行程序参考资料准备工作1、实验工具硬件:stm32核心开发板ST-LINK stm32仿真器(或者CH340 USB转TTL模块等)软件keil5野火串口调试助手——提取码:yf99电脑安装 USB 转换口驱动链接:https://pan.baidu.com/share/init?surl=gLE0pYw_YvismeQz7jCKOw提取码:4tj52、获取代码下载代码链接:https://pan.baidu.com/share/init?surl=

2020-12-05 19:17:00 1071 1

原创 FreeRTOS入门移植--多任务程序

目录准备工作FreeRTOS移植多任务程序(使用野火模板)准备工作1、对于FreeRTOS移植,由于笔者所用单片机为野火家的指南者,因此学习资料直接从野火提供的资料包里学习使用。资料包中的学习书和FreeRtos源码路径如下,可自行下载:链接:资料提取码为:pmf12、先安装好keil,版本必须是5.0以上。3、下载一个野火串行口调试助手,用来显示指令数据FreeRTOS移植RTOS(Real Time Operating System 实时操作系统。FreeRTOS是其中应用最

2020-12-04 16:46:11 216

原创 C#编写游戏客户端连接到校园服务器

目录明天来写明天来写

2020-11-19 20:54:43 154

原创 C#实现端口扫描器小程序

目录一、创建项目及UI设计二、只用单一进程实现端口扫描器三、用多线程方式实现端口扫描器四、参考编译软件:Visual Studio 2019编译环境:Windows 10使用语言:C#一、创建项目及UI设计1、打开VS2019,创建新项目2、选择Windows窗体应用3、输入自己想的名字和存放位置,点击创建完,成项目创建4、UI设计●点击进入 From.cs[设计],可将界面设计如下●修改属性:点击一下 textbox4 控件,将 ReadOnly 属性设置为 True ,这样这

2020-11-19 20:49:09 523 2

原创 Ubuntu的远程操作练习

目录一、Ubuntu远程操作设置1、Ubuntu网络设置一、Ubuntu远程操作设置1、Ubuntu网络设置1、将虚拟机Ubuntu系统的网络连接设置为“桥接模式”1.1、打开虚拟机Ubuntu,选择开启的虚拟鼠标右击,进入设置。1.2、选中网络适配器,设置成桥接模式,然后确定。2、Ubuntu的IP、网关参数配置2.1、进入Ubuntu,点击右上角的的符号(或者那个三角符号),点击设置符号进入设置...

2020-11-10 22:09:44 328

原创 Ubuntu下的kdbg安装和VSCode安装与简单使用

目录一、可视化代码跟踪调试1、可视化前端kdbg的安装2、基于跨平台多类型代码编辑器VScode(1)在ubuntu18.04版本安装VScode(2)配置调试和编译文件二、总结三、参考链接一、可视化代码跟踪调试1、可视化前端kdbg的安装●首先打开Ubuntu终端内切换到需要下载的目录,随后终端输入git clone -b maint https://github.com/j6t/kdbg.git我这里报错了,说我没有“git”,没有就安装。●输入下面这个命令安装一下gitsudo ap

2020-11-09 21:39:05 722 1

原创 C#控制台编程及网络编程入门

目录一、 UDP编程1、简单的C#控制台应用2、C#使用UdpClient类进行简单通信二、用C#编写一个简单的Form窗口程序1、用VS2019建立一个窗口程序项目2、窗体设计3、代码编写4、运行结果三、wireshark抓包分析1、安装并打开wireshark2、帧的格式为: 前导码–>目的地址–>源地址–>协议类型–>数据包–>校核码,我们注重分析以下几个内容四、参考资料一、 UDP编程UDP和TCP的区别UDP和TCP的主要区别在是二者在如何实现信息的可靠传递方

2020-10-31 20:39:51 1163

原创 Ubuntu中opencv的安装及图像库

目录一、 GDB程序调试二、Ubuntu安装opencv1、安装准备2、安装opencv3、编译环境配置一、 GDB程序调试二、Ubuntu安装opencv1、安装准备1.1、安装cmakesudo apt-get install cmake这里提醒一下,小编开始安装cmake的时候出现了“部分包损坏,无法安装”。估计是因为配置出了问题,我选择了暴力执法????,直接重装了虚拟机。如果小伙伴有更好的方法解决这个问题,欢迎留言。感谢!????1.2、安装依赖环境:一条一条的编译sudo

2020-10-29 21:44:20 699

原创 简单探寻GCC编译器背后的故事

目录一、用gcc生成 .a静态库和 .so动态库1、编辑生成例子程序2、将hello.c编译成 .o文件3、由 .o文件创建静态库4、在程序中使用静态库5、由.o 文件创建动态库文件6、在程序中使用动态库二、静态库和动态库实践1、重新创建test2文件夹,然后写入sub1.h、sub1.c、sub2.h、sub2.c、main.c等子程序2、用静态库文件进行链接,生成可执行文件3、用动态库文件进行链接,生成可执行文件4、查看比较两个可执行文件大小3、GCC不是一个人在战斗,GCC的编译过程参考链接一、用g

2020-10-16 21:49:45 195

原创 VS2019创建MFC窗口程序及用cl.exe和link.exe编译链接Windows API程序和MFC程序

目录一、创建MFC窗口程序二、命令行工具cl.exe、linker.exe1、环境配置2、cl.exe,linker.exe编译链接MFC窗口程序3、cl.exe,linker.exe编译链接Windows API程序三、参考资料一、创建MFC窗口程序1、1 打开VS2019,创建新项目。这里我们先搜索MFC,找到后双击进入创建双击后进入,这个界面,完成项目名称和储存位置后,点击创建然后在这个界面,根据自己的项目需求,进行程序的设置更改,然后点击完成即可完成创建。1.2这里说一个小插

2020-10-14 22:31:41 1320 2

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除