自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

默默无闻小菜鸡的博客

学习中······

  • 博客(49)
  • 资源 (9)
  • 收藏
  • 关注

原创 【Holocubic简化修改版——基于STM32F405+ESP8266-12F:使用FreeRTOS和标准库】

本项目的硬件部分主要由3块PCB板组成:锂电池充放电电路板(四层板)、主控板(四层板)和IPS屏幕板(双层板)。其中板与板之间采用1.0的FPC软排线连接。其中锂电池充放电电路板和主控板通过2.0mm铜柱固定在一起,作为主体部分。为了尽可能减小体积(锂电池充放电电路板和主控板尺寸为25mm x 25mm),采用了四层板,否则无法布线。锂电池充放电电路部分存在问题(充电电流方面),已在文中详细说明;

2023-10-30 21:50:10 678 1

原创 【嵌入式系统课程设计——纸张计数显示装置设计】

一.简介本项目分享的是一个嵌入式系统的课程设计,老师给了多个题目供我们选择。我们组选择的题目是纸张计数显示装置设计,也是2019年全国大学生电子设计竞赛的F题。有关这个题目的经验分享,网上已经出现了很多,各种实现原理也都比较明确。我们的这个设计便是基于FDC2214电容数字转换芯片这个方案。电赛官网讲解——韩力教授:F题—纸张计数显示装置解析与交流由于是课程设计,相对于四天三夜的电赛,时间十分充裕。因此我们组决定在实现题目要求的基础上,提高作品的整体性和实用性。对题目没有了解的同学可以先仔

2022-01-18 13:53:16 7715 1

原创 【第四届全国大学生嵌入式芯片与系统设计竞赛回顾——芯片应用(东部赛区二等奖)】

一.简述由于这个学期竞赛和学习事务较多,做的一些小项目和比赛一直没有时间分享。趁着寒假的机会,会陆续整理。本次参加的这个全国大学生嵌入式芯片和系统设计竞赛是一个较“年轻”的比赛,2021年的比赛是第四届。此项赛事已经被列入全国普通高校大学生竞赛排行榜,影响力正在逐年扩大。比赛分为芯片应用和芯片设计两个类别,其中芯片应用又分成多个赛道(以使用不同赞助商的处理器作为区分)。具体比赛细节可查询大赛官网:嵌入式芯片与系统设计竞赛值得一提的是:比赛期间,竞赛官方群氛围很好,东南大学负责比赛的老师在群中会认真

2022-01-16 20:57:48 16152 4

原创 【第十六届全国大学生智能车竞赛回顾——华东赛区单车拉力组(全国二等奖)】

一.简述作为第二次参加智能车大赛的“老队员”,有了前一届的参赛经验,上手起来确实轻松了不少。因此,在选择组别的时候,我便想挑战一下自己,选择了这个今年新加入的且难度较大的单车拉力组。事实也证明,这个单车在制作和调试的过程中,难度的确很大。虽然实现平衡和循迹程序的算法相对简单(不排除有大佬采用了更加高级的算法),但是对于小车机械结构和参数的要求十分苛刻,需要不断尝试和调整才能十分稳定地跑起来。下面我会对调车和比赛过程中出现的问题进行总结,并进行经验分享。相关资料暂不开源。二.小车制作过程(单

2021-07-20 22:42:07 8561 15

原创 【QT5+OpenCV3.4.1+CMake环境配置】

一.介绍QT:可用于编写上位机程序或安卓APP的软件。OpenCV:用于图像处理的第三方库。CMake:将第三方库使用特定的编译器编译,以适应当前工程使用的编译器。使用CMake的原因:在不下载VS的前提下,QT软件自带的编译器是minGW。而官方提供的OpenCV库是居于VS的MSVC编译器。因此,需要使用CMake对OpenCV库进行处理,输出适用于minGW编译器的格式。二.软件下载1.QT软件下载地址:清华镜像网★按以下步骤依次点开:选择需要下载的版本,以v5.12.1为例:

2021-05-20 14:05:55 659

原创 【QT上位机设计——串口收发和波形显示】

一.简介最近粗略地学习了一下上位机的编程,大致了解了底层硬件与上位机之间的串口通信逻辑,TCP通信和UDP通信暂时还未学习。本次把学习思路分享一下,主要学习视频是b站上北京迅为的QT教学视频,我的笔记也是在此基础上总结出来。很多细节在视频中已经介绍,篇幅有限,仅分享大致流程。视频链接:【北京迅为】嵌入式学习之QT学习篇二.界面展示界面制作较为简陋,代码也较为粗糙(源码仍有较多bug,暂不开源,请见谅)。1.基础界面:2.波形显示界面三.QT软件下载下载地址:清华镜像网★按以下

2021-03-29 12:49:25 10869 12

原创 【传感器系统设计——基于STC89C52RC的大气参数检测仪(AHT10温湿度模块、BMP180气压模块)】

一.简介本设计采用STC89C52RC作为主控芯片,编程简单易于控制。配合使用BMP180气压传感器和AHT10温湿度传感器,可以检测大气温湿度和压强,并可以通过气压换算出绝对高度。二.设计展示1.实物展示图2.原理图3.PCB布线图4.三维图展示三.AHT10介绍1.简介AHT10是一款精度高,完全校准,贴片封装的温湿度传感器,MEMS的制作工艺。传感器包括一个MEMS半导体电容式感湿元件、一个标准的片上温度传感器、一个全新设计的ASIC芯片。AHT10 通信方式采用标准

2021-01-08 22:34:29 2709

原创 【2020年TI杯江苏省大学生电子设计竞赛回顾——C题:坡道行驶电动小车(江苏省二等奖)】

一.简介9月10日~13日开始了为期四天三夜的电子设计竞赛省赛。江苏赛区本次共有6个赛题。分别是:A—无线运动传感节点B—单相不间断电源C—坡道行驶电动小车D—绕障飞行器E—放大器非线性失真研究装置F—非接触物体形态测量相比于前几年的省赛题目,本次赛题类型有了较大的改动,更加接近于国赛的形式。赛题在9日晚就提前发放了,拿到赛题后,我们组很兴奋地看到赛题中有关于小车的题目(因为之前参加的两个比赛都是与智能小车有关)。因此如果做小车,在小车的程序和机械结构上,我们有更加丰富的经验。但是,我们

2020-10-19 13:09:19 15631 37

原创 【2020年“TI杯”模拟电子系统设计专题邀请赛回顾——D题:目标跟踪系统(全国二等奖)】

一.2020年赛事简介1.全国大学生电子设计竞赛“TI杯”模拟电子系统设计专题邀请赛,是全国大学生电子设计竞赛的一项专题邀请赛。大赛邀请的学校主要是设置电子信息或电气工程本科专业,以及部分在电子信息或电气工程专业领域有影响或有特色的学校。每个学校不超过两支参赛队伍。2.此项比赛一般持续两天一夜,比赛过程中不得上网查阅资料,手机也会在比赛开始前上交,属于一项全封闭的比赛。参赛形式与传统的电赛类似,每只参赛队不超过三名成员,根据赛题要求在规定时间内完成作品。3.本届比赛的时间是9月18日上午8:0

2020-09-25 10:08:05 15053 8

原创 【第十五届全国大学生智能车竞赛回顾——华东赛区AI电磁组(华东赛区二等奖)】

一.简述这是我大学以来第一次接触到智能车比赛。同时由于我们学院也是第一次参加此项比赛,没有以往的车模和代码借鉴。我们作为学院智能车比赛的“开山鼻祖”,从头制作起来可谓是困难重重。好在学院老师对此次比赛格外重视,让我们完全没有资金上的顾虑。二.小车制作过程1. 组别选择(AI电磁组)我们组选择的是本届比赛新加入AI电磁组。此项组别是先通过电磁杆巡线收集赛道数据后,通过蓝牙传输到电脑上。然后将数据经过一系列处理,并用神经网络进行训练。最后将训练好的模型再重新烧录到小车中,从而使小车可以依据训练好的

2020-09-06 09:10:23 2777 5

原创 【大电流H桥电机驱动电路的设计与解析(包括自举电路的讲解,以IR2104+LR7843为例)】

一.简介之前介绍过H桥电机驱动电路的基本原理,但是以集成的电机驱动芯片为示例。这些集成的芯片使用起来比较简单,但是只能适用于一些小电流电机,对于大电流的电机(比如:RS380和RS540电机),则不能使用这些集成的芯片(否则会导致芯片严重发热并烧毁)。此时便需要自行用半桥/全桥驱动芯片和MOS管搭建合适的H桥电机驱动电路实现对大电流电机的驱动控制。...

2020-07-21 08:26:33 45452 20

原创 【简单的四足机器人制作(基于STC15/LPC845)】

展示一.基本介绍这个四足机器人是我大一暑假入门单片机不久后,用STC15W最小系统制作的。后面做了一些改进,比如大致画了一块PCB,并改用了恩智浦的LPC845作为主控,

2020-06-01 10:15:00 4314 5

原创 【SG90模拟舵机控制及PCA9685模块的使用】

一.模拟舵机控制1.简介9g模拟舵机在市面上十分常见,价格也比较便宜。可用于航模,机器人或智能小车等。如上图所示,一个舵机有三条线:VCC、GND和信号线。只要通过信号线给予规定的控制信号即可实现舵机码盘的转动。2.控制信号对于此种模拟舵机的控制是通过发送PWM。...

2020-05-17 08:54:46 16531 14

原创 【电机驱动芯片(单、双极性步进电机驱动方式/四相五线和42步进电机)——ULN2003、双H桥芯片(DRV8833/DRV8825)】

一.步进电机工作原理1.基本原理步进电机工作原理相比直流电机更复杂一些,网上资料也较多,我也不做过多讲解。下面贴出的是我认为讲的比较好的原理介绍。步进电动机步进电机及其工作原理2.相关总结★步距角:改变一次通电状态(或者说一个脉冲信号)电机转子对应转过的角度。θ=360°/(z*n),θ是步距角,z是转子齿数,n是工作拍数。★在非超载情况下,电机的转速和停止位置只取决于控制脉冲信号的...

2020-05-14 10:13:55 13975

原创 【电机驱动芯片(H桥、直流电机驱动方式)——DRV8833、TB6612、A4950、L298N的详解与比较】

H桥电路在驱动直流电机上具有重要的应用,此篇文章便是介绍H桥的工作原理和相关芯片的驱动方式。

2020-05-11 08:12:56 58809 24

原创 【LDO低压差线性稳压芯片的使用(LM1117)】

此篇介绍后一部分LDO(低压差线性稳压芯片AMS1117)的使用,与前半部分开关芯片类似,AMS1117**也有固定输出与可调输出的型号区分**,此处使用的是3.3V固定电压输出。

2020-04-24 08:03:50 18036 5

原创 【DC-DC开关电源芯片的使用(LM2596)】

一.原理图此电路由一个DC-DC开关稳压芯片(LM2596)和一个线性稳压芯片(AMS1117)组成,可以将7-40V的输入电压转换5V和3.3V的电压输出。此处只对前半部分开关稳压芯片做介绍,线性稳压芯片另一篇文章介绍。二.开关稳压芯片原理讲解1.BUCK降压电路此DC-DC芯片降压稳压主要是基于BUCK电路。网上对BUCK电路介绍很多,此处只大致讲解。BUCK基本电路形式:三...

2020-04-15 08:53:02 22119 22

原创 【Quartus II 17.0 VWF仿真设置】

一.介绍由于Quartus II较高的版本取消了自带的仿真器(9.0版本仍可使用),所以必须要下载一个第三方仿真软件。此处我下载的是Modelsim软件。(使用较广,可自行搜索下载)因此,此处主要讲解如何通过在Quartus软件中进行相关配置操作与Modelism相联,从而实现在Quartus中直接进行VWF仿真,达到与9.0等之前版本类似的仿真效果;(当然,直接打开Modelsim进行仿真...

2020-04-11 14:25:55 12474 3

原创 【继电器模块的电路设计和分析】

一.电路图二.电路原理分析1.功能此继电器电路模块可以通过把左下角LED1的阴级与控制器引脚相连(如图中与单片机P0.8引脚相连),从而可以通过控制引脚的高低电平来驱动继电器的开合。2.分析当P0.8脚为低电平时,光耦PC817输入端的发光二极管导通发亮,从而使得光耦输出端的光电接收三极管接收到光信号导通。接着,NPN三极管Q1基极会形成高电平使其导通。此时电流顺利通过继电器输入端的...

2020-04-10 09:34:21 10347

原创 【三极管开关电路的设计和分析】

一.原理图二.电路原理分析1.使用原因三极管开关电路主要用在控制大电流器件的开关动作。因为许多单片机的I/O口驱动能力不强(所能驱动的最大电流很小,约20mA),而如图中的有源蜂鸣器则需要30mA的驱动电流。如果直接与I/O口相连则无法正常驱动。因此需要三极管对电流进行放大。2.驱动逻辑当P0.9为高电平时,Vbe>Vth,三极管导通,蜂鸣器打开。反之则关闭。3.补充说明1....

2020-04-07 09:17:42 3114

原创 【FPGA——Cyclone Ⅳ学习笔记】九.串口收发实验(EP4CE6F17C8)

一.原理图二.串口收发1.串口收发流程图串口的波特率是指每秒收/发的bit数,此代码设为115200bit/s。因此要将50MHz的系统时钟进行适当的分频。如上图,每计到CYCLE个时钟脉冲就传输一个位。因此CYCLE=50_000_000/115200。下面的代码是对黑金的代码进行了一些更改和注释。2.uart_rx.v接收数据状态图:串口接收模块module uart...

2020-04-05 09:50:18 2337 2

原创 【FPGA——Cyclone Ⅳ学习笔记】八.SPI协议和DS1302时钟芯片(EP4CE6F17C8)

一.原理图二.SPI驱动及代码解释此实验采用黑金的代码!和之前IIC介绍相同,先单独介绍SPI的底层驱动模块。具体的SPI协议此处不做详细介绍。底层的SPI驱动是以标准的四线SPI编写。1.端口定义、I/O说明和变量声明module spi_master( input sys_clk, input ...

2020-04-03 13:25:08 3074 3

原创 【FPGA——Cyclone Ⅳ学习笔记】七.IIC驱动EEPROM(EP4CE6F17C8)

一.原理图此开发板的24LC04芯片与之前所用的AT24C02芯片有所不同,此芯片的A2,A1,A0管脚为无效管脚,不能用于器件地址的选择!二.IIC驱动及代码介绍IIC的驱动程序主要是根据时序...

2020-03-30 09:38:28 2731

原创 【FPGA——Cyclone Ⅳ学习笔记】六.数码管动态扫描显示(下)(EP4CE6F17C8)

上篇的代码源于正点原子的的例程,但是经过对比,我还是更喜欢黑金版本的思路,因为逻辑更加清晰。实验效果:与上篇相同,计时显示。个位为ms,十位为s…顶层结构图:1.seg_decoder.v此模块是数码管位的解码模块,将数据转换为数码管的段选码输出给后续的扫描模块。对应是顶层结构图中右侧的六个,因为有六个位,所以每个位都对应一个此模块。module seg_decoder( inpu...

2020-03-26 09:36:25 2416 2

原创 【FPGA——Cyclone Ⅳ学习笔记】六.数码管动态扫描显示(上)(EP4CE6F17C8)

一.原理图原理图与前面静态显示相同。不过在动态显示时尤其需要注意,位选的低位控制左边,高位控制右边。二.代码和注释此代码通过数码管的动态扫描方式显示计数时间。顶层结构图:1.time_count.v定时器模块,用于产生0.1s的时钟信号,使数码管的显示每0.1s加1。module time_count( input sys_clk, input ...

2020-03-24 08:04:10 2021

原创 【FPGA——Cyclone Ⅳ学习笔记】五.数码管静态显示(EP4CE6F17C8)

一.原理图通过第一张数码管的原理图可知,6根位选的信号线与PNP三极管相连然后再控制数码管的位选。当位选的I/O口为低电平时,三极管导通,数码管对应的位被点亮。如果了解数码管的结构原理便可通过位选的电路结构知道,此数码管为共阳极,因此段选的I/O口为低电平时,数码管对应的段会被点亮。二.代码和注释此代码用于实现数码管的静态显示,即六个位显示的数字相同。每经过0.5s数码管显示加1(0~...

2020-03-21 14:29:42 5272 1

原创 【FPGA——Cyclone Ⅳ学习笔记】四.按键控制PWM蜂鸣器(EP4CE6F17C8)

一.原理图由蜂鸣器的原理图可看出,当I/O口(C11)输出低电平时蜂鸣器响!二.代码和注释1.key_debounce.v按键消抖代码,前面介绍过了,此处不再说明。module key_debounce( input sys_clk, //外部50M时钟 input sys_rst_n, //外部...

2020-03-20 16:12:21 2395

原创 【FPGA——Cyclone Ⅳ学习笔记】三.按键消抖(EP4CE6F17C8)

一.需要按键消抖原因由于按键的弹性作用,在按下按键或释放按键时,按键会有抖动(在高低电平之间任意变化),而不是立刻稳定。这样就有可能读取到多次低电平,导致输出的信号不稳定。因此需要对按键进行消抖。举个例子:`timescale 1ns / 1psmodule key_test( input clk, input [3:0] ...

2020-03-19 20:56:07 915

原创 【FPGA——Cyclone Ⅳ学习笔记】二.按键控制LED灯(EP4CE6F17C8)

一.原理图当按键按下时,对应I/O口从高电平变为低电平。二.Verilog HDL代码及讲解此代码的效果时四个按键分别对应四个LED,按下按键后,对应LED亮,松开LED灭。`timescale 1ns / 1psmodule key_test( input clk, //有复位低电平信号时,计数器清零 input [3:0] ...

2020-03-19 10:51:43 1953

原创 【FPGA——Cyclone Ⅳ学习笔记】一.LED流水灯(EP4CE6F17C8)

一.原理图二.Verilog HDL代码及讲解以黑金开发板提供的代码为例`timescale 1ns / 1psmodule led_test( input clk, // system clock 50Mhz on board input rst_n, // reset ,low active out...

2020-03-18 09:01:06 4055

原创 【蓝桥杯——单片机学习笔记】十八.LCD1602显示自制动画(STC15F2K60S2)

lcd1602的基础操作和配置可查看12章讲解!一.原理基础回顾:1. lcd1602一个字符的显示是宽5个像素高8个像素,一共40个像素。其中每行的5个像素是由1个字节的低5位控制,高3位不起作用(此处需要注意,后面创建图形需要考虑到)。所以一个字符的显示要8个字节;2. 由lcd1602的使用手册可知,其用户字模存储区CGRAM是6位地址(即64个地址),每个地址可存入1个字节的数...

2020-03-13 22:32:27 3202 1

原创 【蓝桥杯——单片机学习笔记】十七.CCP/PCA/PWM的应用(STC15F2K60S2)

简介: 此模块共有3个,可用作外部中断,定时器,高速脉冲产生,PWM产生。CCP英文为:Capture(捕获),Compare(比较),PWM(脉宽调制),总结了此模块的功能。一.所用寄存器1.CMOD PCA工作模式寄存器SFR nameAddressbitB7B6B5B4B3B2B1B0COMDD9HnameCIDLCPS2CPS1...

2020-03-06 10:05:48 6148 3

原创 【蓝桥杯——单片机学习笔记】十六.蜂鸣器播放音乐(STC15F2K60S2)

一.音乐播放原理蜂鸣器分为有源和无源,经测试均可正常播放音乐。(网上有些回答说有源不可播放)首先需要了解蜂鸣器可以播放音乐的原理。音乐中不同的音调实际上是发声体震动的速度(即频率)不同。所以要让蜂鸣发出不同的音调只需要使蜂鸣器开启和关闭的频率改变(即用与音调相应的频率不断使输出口在高低电平之间转换,类似于输出一个占空比为50%而频率可变的方波)。可以想到,这可以通过定时器来实现,在定时器中断...

2020-03-01 16:41:44 9828 11

原创 【蓝桥杯——单片机学习笔记】十五.内部ADC采样包含ADC中断(STC15F2K60S2)

STC15单片机的A/D转换口在P1.0~P1.7,有8路10位A/D转换器。一.所用寄存器1.P1ASF P1口模拟功能控制寄存器(不可位寻址)SFR nameAddressbitB7B6B5B4B3B2B1B0P1ASF9DHnameP17ASFP16ASFP15ASFP14ASFP13ASFP12ASFP11ASFP10ASF...

2020-02-29 16:51:51 4516

原创 【蓝桥杯——单片机学习笔记】十四.NEC协议和红外通信包含外部中断(STC15F2K60S2)

一.NEC协议网上资料很多,此处大致讲解。1.NEC协议对于逻辑“0”和“1”的表示方式:由560us高电平接上不同长度的低电平,即逻辑“1”的脉冲周期2.25ms,逻辑“0”的脉冲周期1.12ms。2.NEC协议的发送格式:首先发送9ms高电平和4.5ms低电平的同步码头代表开始信号。接着以上述逻辑表示发送8位地址码,8位地址反码,8位命令码和8位命令反码(发送顺序均为低位在前,高位...

2020-02-29 11:29:48 2522 6

原创 【蓝桥杯——单片机学习笔记】十三.点阵(STC15F2K60S2)

一.点阵内部图点阵的有两种,分为共阴和共阳(与数码管类似,后续代码根据共阳点阵编写)。点阵的控制主要是每行和每列电平的控制。如图,以共阳为例:若要使左上角的灯亮,则第一行输出高电平(其他行均为低电平),第一列输出低电平(其他列均为高电平)。二.原理图与数码管共用接口,所以数码管和点阵的显示只能选一个使用!原理图中点阵的接口R5即代表第5行(Row),C8即代表第8列(Column)。通...

2020-02-28 19:30:19 1350

原创 【蓝桥杯——单片机学习笔记】十二.LCD1602(STC15F2K60S2)

一.原理图及引脚说明编号引脚名引脚说明编号引脚名引脚说明1VSS电源地9D2Data I/O2VDD电源正极10D3Data I/O3VL对比度调整电压,可由Rb1电位器控制11D4Data I/O4RS数据/指令选择段(H/L)12D5Data I/O5R/W读/写选择段(H/L)13D6D...

2020-02-27 21:24:12 5583 3

原创 【蓝桥杯——单片机学习笔记】十一.定时器和计数器详解(STC15F2K60S2)

一.寄存器(只给出有用的位)** 1.TCON定时器/计数器0/1控制寄存器 **SFR nameAddressbitB7B6B5B4B3B2B1B0TCON88HnameTF1TR1TF0TR0TF1:T1溢出中断标志位。产生溢出时,硬件置1,请求中断。进入中断后硬件清0;TR1:0—关闭T1,1—打开T1;TF0:T0溢出...

2020-02-27 13:37:31 6435 4

原创 【蓝桥杯——单片机学习笔记】十.串口通信包含串口中断(STC15F2K60S2)

一.串口相关寄存器(只给出有用的位)1.SCON控制寄存器SFR nameAddressbitB7B6B5B4B3B2B1B0SCON98HnameSM0/FESM1SM2RENTB8RB0TIRISM0/FE:当PCON寄存器的SMOD0为0时,该位和SM1一起指定串行通信的工作方式。SM2:允许方式2或方式3多机通信控制位...

2020-02-26 23:30:29 1575 6

原创 【蓝桥杯——单片机学习笔记】九.超声波模块和红外收发模块(STC15F2K60S2)

一.超声波模块测距跳线帽连接1-3和2-4!sbit TX=P1^0;sbit RX=P1^1;//延时函数void Delay10us() //@11.0592MHz{ unsigned char i; _nop_(); i = 25; while (--i);}//发送超声波,每次发送8个40kHz的方波void send_ware(void){ uns...

2020-02-26 20:11:25 2613

【Holocubic简化修改版-基于STM32F405+ESP8266-12F:使用FreeRTOS和标准库】

第一次看到Holobubic项目是稚晖君视频:【自制】如何制作一个赛博朋克风格的 百大UP奖杯 【软核】 但是稚晖君的项目是基于ESP32PICO-D4,因此我想尝试使用STM32复刻一个。实际上,使用STM32复刻Holocubic在CSDN上已经有一位博主发布了他做的成品,并且博客介绍得很详细。因此,我也借鉴了他的部分设计,并重新设计制作了一个简易版的Holocubic。 具体介绍可在主页搜索相关博客,如有问题可以在博客中留言或私信。

2023-10-30

【嵌入式系统课程设计——纸张计数显示装置设计开源资料(FDC2214方案)】

本项目是基于STM32F103的纸张计数显示装置设计,采用的方案是FDC2214电容数字传感器。该项目可实现一键自动检测,无需手动按压等操作。开源资料包括展示视频、设计报告、3D模型(使用Rhino打开)、PCB文件、完整程序。

2022-01-18

【2021全国大学生嵌入式芯片与系统设计竞赛——东部赛区(芯片应用)二等奖】设计资料文件

2021年全国大学生嵌入式芯片与系统设计竞赛东部赛区二等奖作品:基于STM32F4的智能电梯模拟控制系统设计。此文件夹包括设计报告、完整演示视频、PCB文件、STM32代码、ESP32代码、安卓APP代码、串口屏设计文件等。

2022-01-16

【第十六届全国大学生智能车竞赛回顾——华东赛区(单车拉力组)全国二等奖】设计资料

本资料包含本组制作的参考资料、程序、PCB工程文件、3D打印文件以及匿名上位机软件。可供需要的同学学习使用,如有问题,也欢迎在文章评论区留言交流。谢谢。文章链接:https://blog.csdn.net/qq_44897194/article/details/118927859

2021-08-05

基于QT的串口收发和波形绘制上位机程序

使用QT软件编写的串口收发上位机程序,适合初学者学习使用。同时包含波形的绘制界面,但是仍有较多BUG未解决。

2021-03-29

基于STC89C52RC的大气参数检测仪(AHT10温湿度模块、BMP180气压模块)

本资源是设计的一款简单的大气参数检测装置,采用AHT10温湿度模块、BMP180气压模块。资料中包括设计的PCB文件和程序。

2021-01-08

【2020年TI杯江苏省大学生电子设计竞赛——赛题+C题报告和代码(省二)】

2020年TI杯江苏省大学生电子设计竞赛——赛题+C题报告和代码(省二),该小车是基于TI公司的MSP432P401R launchpad制作的电动爬坡小车,采用传统的PID控制算法。通过红外循迹模块,实现小车的循迹和停车。

2020-10-19

【全国大学生电子设计竞赛——2020年“TI杯”模拟电子系统设计专题邀请赛(D题:目标跟踪系统设计报告)】

该资料包含全国大学生电子设计竞赛——2020年“TI杯”模拟电子系统设计专题邀请赛,D题:目标跟踪系统的设计报告(国二),以及此届比赛的四个赛题。

2020-09-25

基于LPC845的简单四足机器人设计.zip

本资源包含所设计的简单机器人的所有代码和相关PCB文件。其中代码部分包含LPC845版本和STC15W版本。PCB包含设计的第一代和第二代扩展板(扩展板是基于LPC845设计的)和一个降压稳压模块。

2020-06-01

简易示波器-精英板.zip

此项案例是基于正点原子精英板制作的一个简易示波器,可以读取信号的频率和幅值,并可以通过按键改变采样频率和控制屏幕的更新暂停。下载前请先看下面的博客:https://blog.csdn.net/qq_44897194/article/details/104337437

2020-02-18

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除