自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

liaorongxiao的博客

小萌新的拙作,各位大佬多多指教

  • 博客(58)
  • 资源 (5)
  • 收藏
  • 关注

原创 五大排序算法(冒泡、选择、快排、归并、堆排)

1、Arrays.sort排序例题洛谷P2676Bookshelf B数组的全部元素从小到大排序:Arrays.sort(a); //a数组名,注意元素个数:a的长度有多少,就对多少个元素排序!!!递减排序Arrays.sort(intArray,Comparator.reverseOrder());例:对a的全部n个元素降序排序Integer a[]=new Integer[n];Arrays.sort(a,Collections.reverseOrder());标签:贪...

2021-03-07 22:15:19 613 10

原创 蓝桥杯练习系统基础练习答案(含VIP试题)

OJ链接:http://lx.lanqiao.cn/problemset.page?code=BASIC-&userid=301077类名以题目和题号命名,依次如下。package 蓝桥杯系统基础练习;import java.util.Scanner;public class 闰年判断01 { public static void main(String[] args) { Scanner in = new Scanner(System.in); int y = in.n

2021-02-12 12:23:58 5440 8

原创 java加载MySQL驱动成功但是连接数据库失败的原因

一、原因: mysql和jar包版本不对应!!! 成功的环境配置:MYSQL8.0+JDK13+mysql-connector-java-8.0.22.jar (8.0版本的Mysql最好也用8.0.X版本jar包,我之前用的5.1.39jar包加载MySQL驱动成功但是连接数据库失败!!!) 连接的驱动地址为"com.mysql.cj.jdbc.Driver" Mysql和mysql-connector都为8.0以上的版本时,连接驱动就不要再用:com.m...

2020-10-31 18:17:55 9477 10

原创 java代码中简单添加背景音乐(亲测有效)

在做java贪吃蛇游戏课设时,想添加背景音乐,参考一位博主的做法(链接:https://blog.csdn.net/weixin_43926171/article/details/90739074)

2020-04-30 13:50:13 16550 21

原创 解决jedis连接Linux系统的远程连接的redis服务失败

jedis连接Linux系统的远程连接的redis服务失败可能的原因:redis.conf配置文件需要修改,但是我的配置没有大家说的bind和protected-mode,防火墙也关闭了解决:连接本地的windows版的redis服务,下载链接 Release 3.2.100 · microsoftarchive/redis · GitHub双击启动redis服务pong,OK!...

2022-01-29 21:00:00 1445

原创 解决Xshell连接Linux系统SSH服务器失败

我连接失败的原因是以下三个问题:Linux系统的防火墙未关闭,关闭即可 Linux系统,SSH配置文件错误,卸载SSH重新安装SSH,再启动SSH。 root用户名输入密码后,xshell提示了‘ssh服务器拒绝了密码 请再试一次’原因:系统不允许root用户远程登录,系统的默认设置当然是为了提高安全性。 更改远程连接配置:vim /etc/ssh/sshd_config更改完成后重启服务:更改完成后重启SSH服务,OK!...

2022-01-27 21:52:49 2236

原创 8.线程状态、volatile关键字、原子性、并发包、死锁、线程池

2021-08-12 14:08:21 197

原创 7.异常,线程,多线程

因为截不了那么长的图,所以就再补一张。。

2021-08-08 17:38:30 106

原创 6.冒泡排序,Map集合

2021-08-06 16:46:36 186

原创 5.迭代器,数据结构,List,Set ,TreeSet集合,Collections工具类

2021-07-25 16:16:25 104 2

原创 4.JAVA常用API、正则表达式,泛型、Collection集合API

2021-07-18 13:29:12 77

原创 3.JAVA的包、权限修饰符、内部类,Object类

2021-07-17 13:09:49 87 2

原创 2.JAVA抽象类、接口、代码块、final、单例、枚举

2021-07-15 16:05:46 81

原创 1.JAVA类、面向对象三大特性、static、this

​​​​​​​

2021-07-15 16:00:19 74

转载 Unable to resolve target ‘android-17‘

eclipse导入项目后出现Unable to resolve target 'android-17'解决方法,在最后附带另一种编译逻辑不成功情况解决方法。一、问题情况二、解决办法1、修改项目的目标版本与当前Android sdk相对应的版本2、自动修复一下项目三、这个问题不是上面的。是另外情况,在这里也奉上。如果项目出现逻辑正确,可能有些项目东西没有编译到。解决办法:...

2021-04-18 18:54:46 222 1

原创 java HashMap与TreeMap总结

1、HashMap简介HashMap 是一个散列表,它存储的内容是键值对(key-value)映射。 HashMap 实现了 Map 接口,根据键的 HashCode 值存储数据,具有很快的访问速度,最多允许一条记录的键为 null,不支持线程同步。 HashMap 是无序的,即不会记录插入的顺序。 HashMap 继承于AbstractMap,实现了 Map、Cloneable、java.io.Serializable 接口。HashMap 类位于 java.util 包中,使用前需要引入它.

2021-04-06 22:22:36 2466 5

原创 Java HashSet与TreeSet总结

一、HashSet简介HashSet 基于 HashMap 来实现的,是一个不允许有重复元素的集合。 HashSet 允许有 null 值。 HashSet 是无序的,即不会记录插入的顺序。 HashSet 不是线程安全的, 如果多个线程尝试同时修改 HashSet,则最终结果是不确定的。 您必须在多线程访问时显式同步对 HashSet 的并发访问。 HashSet 实现了 Set 接口。set容器的特点是不包含重复元素,也就是说自动去重,算法题中经常应用的特点。HashSet 中的

2021-04-05 14:50:10 1048 10

原创 txt文件读写及next() 与 nextLine() 方法

通过 Scanner 类的 next() 与 nextLine() 方法获取输入的字符串,在读取前我们一般需要 使用 hasNext 与 hasNextLine 判断是否还有输入的数据。next() 与 nextLine() 区别next():1、一定要读取到有效字符后才可以结束输入。 2、对输入有效字符之前遇到的空白,next() 方法会自动将其去掉。 3、只有输入有效字符后才将其后面输入的空白作为分隔符或者结束符。 next() 不能得到带有空格的字符串。nextLine():

2021-04-02 20:10:42 450 2

原创 2020蓝桥杯省赛C/C++B组(第二场)试题 D: 跑步锻炼-Excel求法

试题 D: 跑步锻炼【问题描述】小蓝每天都锻炼身体。正常情况下,小蓝每天跑 1 千米。如果某天是周一或者月初(1 日),为了激励自己,小蓝要跑 2 千米。如果同时是周一或月初,小蓝也是跑 2 千米。小蓝跑步已经坚持了很长时间,从 2000 年 1 月 1 日周六(含)到 2020 年10 月 1 日周四(含)。请问这段时间小蓝总共跑步多少千米?【答案提交】这是一道结果填空的题,你只需要算出结果后提交即可。本题的结果为一个整数,在提交答案时只填写这个整数,填写多余的内容将无法得分。答案:8879

2021-04-02 17:46:16 1210 7

原创 二分算法

0、二分法简介简介二分查找(英语:binary search),也称折半搜索(英语:half-interval search)、对数搜索(英语:logarithmic search),是用来在一个有序数组中查找某一元素的算法。工作原理以在一个升序数组中查找一个数为例。它每次考察数组当前部分的中间元素,如果中间元素刚好是要找的,就结束搜索过程;如果中间元素小于所查找的值,那么左侧的只会更小,不会有所查找的元素,只需到右侧查找;如果中间元素大于所查找的值同理,只需到左侧查找。性质时间复杂度

2021-04-01 11:20:28 2897

原创 Activity打开另一个Activity时闪退

解决方法:打开AndroidManifest.xml声明新建的Activity

2021-03-26 22:28:37 844

原创 Java洛谷P1102 A-B 数对-Map用法

目录1、洛谷P1102 A-B 数对2、JDK1.6 API中的Map方法3、Map用法实例1、洛谷P1102 A-B 数对OJ:https://www.luogu.com.cn/problem/P1102 标签:模拟,数论,数学,排序,二分查找,哈希,HASH,概率论,统计package 二分查找与二分答案;/* * 这一题将A-B=C转换成A=B+C,首先将A数组每个元素出现的次数统计起来,用map映射, * 答案每次加上a[i]+c位置的数的个数,a[i]+c即..

2021-03-26 18:34:03 635 2

原创 Java优化时间空间的方法

时间和空间都缩短为原来的1/3-1/5左右,ps:函数的参数个数也会影响运行速度。参数的数目直接影响调用函数的速度,参数越多,调用函数就越慢。定义输入和输出StreamTokenizer in = new StreamTokenizer(new BufferedReader(new InputStreamReader(System.in)));PrintWriter out = new PrintWriter(new OutputStreamWriter(System.out));输入方式

2021-03-24 21:17:44 348 4

原创 洛谷题单-【算法1-5】贪心

0.简介贪心算法,是用计算机来模拟一个“贪心”的人做出决策的过程。这个人十分贪婪,每一步行动总是按某种指标选取最优的操作。而且他目光短浅,总是只看眼前,并不考虑以后可能造成的影响。可想而知,并不是所有的时候贪心法都能获得最优解,所以一般使用贪心法的时候,都要确保自己能证明其正确性。适用范围 贪心算法在有最优子结构的问题中尤为有效。最优子结构的意思是问题能够分解成子问题来解决,子问题的最优解能递推到最终问题的最优解证明方法贪心算法有两种证明方法:反证法和归纳法。一般情况下,一道...

2021-03-19 16:32:34 924

原创 暴力枚举

枚举枚举是基于已有知识来猜测答案的一种问题求解策略。枚举的思想是不断地猜测,从可能的集合中一一尝试,然后再判断题目的条件是否成立。要点给出解空间 建立简洁的数学模型。 枚举的时候要想清楚:可能的情况是什么?要枚举哪些要素? 减少枚举的空间 枚举的范围是什么?是所有的内容都需要枚举吗? 在用枚举法解决问题的时候,一定要想清楚这两件事,否则会带来不必要的时间开销。 选择合适的枚举顺序 根据题目判断。比如例题中要求的是最大的符合条件的素数,那自然是从大到小枚举比较合适。.

2021-03-14 20:20:35 349 1

原创 模拟与高精度算法

1、模拟模拟就是用计算机来模拟题目中要求的操作。模拟题目通常具有码量大、操作多、思路繁复的特点。由于它码量大,经常会出现难以查错的情况,如果在考试中写错是相当浪费时间的。技巧。写模拟题时,遵循以下的建议有可能会提升做题速度:在动手写代码之前,在草纸上尽可能地写好要实现的流程。 在代码中,尽量把每个部分模块化,写成函数、结构体或类。 对于一些可能重复用到的概念,可以统一转化,方便处理:如,某题给你 "YY-MM-DD 时:分" 把它抽取到一个函数,处理成秒,会减少概念混淆。 调试时分块调

2021-03-07 17:48:33 1356 3

原创 递推与递归算法

1、简介递归的基本思想是某个函数直接或者间接地调用自身,这样原问题的求解就转换为了许多性质相同但是规模更小的子问题。求解时只需要关注如何把原问题划分成符合条件的子问题,而不需要过分关注这个子问题是如何被解决的。 如何给一堆数字排序?答:分成两半,先排左半边再排右半边,最后合并就行了,至于怎么排左边和右边,请重新阅读这句话。 你今年几岁?答:去年的岁数加一岁,1999 年我出生。 递归代码最重要的两个特征:结束条件和自我调用。自我调用是在解决子问题,而结束条件定义了最简子问题的答案。int fu

2021-03-01 22:45:10 3241 2

原创 蓝桥杯练习系统-入门训练java版

蓝桥杯练习系统-入门训练:http://lx.lanqiao.cn/problemset.page?code=BEGIN-&userid=301077package 蓝桥杯系统入门训练;import java.util.*;public class A加B问题{ public static void main(String[] args) { Scanner sc = new Scanner(System.in); Integer a = sc..

2021-02-12 12:11:13 520

原创 校园邮箱免费激活idea等Jetbrains系列旗舰版产品

一 准备教育网邮箱并注册JetBrains账户 如果你本身就是在校学生并且你们学校给你注册了edu后缀的邮箱,那么恭喜你,不用费劲去找教育网邮箱了。像我们学校每个人的邮箱是学号+@+学校英文缩写 .edu.cn。如果不是学生的话也没关系,可以借个学生邮箱哈哈哈【或者去万能的某宝,我啥也没说啊,我只是让你们去看看!】。 好了,我们开始注册JetBrains账户。1.去 jetbrains 官网:https://account.jetbrains.com/ ,用校园邮箱注...

2021-02-01 17:20:56 2458 1

原创 证件照换底色,快速简单!(附去水印宝藏工具)

浏览器进入在线换照片底色网站:https://www.bgconverter.com/,点击添加图片。选择新底色,转换底色。右键转换好的图片,图片另存为。此时证件照是有水印的,下面一步我们去水印。 浏览器进入在线ps网站https://www.photopea.com/,从电脑打开刚才保存的图片。 选择左侧污点修复笔工具,左上角可调节笔的大小和硬度,左下角点击放大镜可对图片进行缩放,修复笔点击水印,大功告成啦! 水印对...

2021-01-22 15:25:19 1833 2

原创 一个简单的HTML页面

一个标准的HTML基本格式。<!DOCTYPE html><!--约束,声明--><html lang="zh_CN"><!--HTML标签标示HTML的开始;lang="zh_CN"表示中文;HTML标签中一般分为两部分,分别是head和body--> <head><!--表示头部信息,一般包含三部分内容,title标签,css格式,js代码--> <meta charset="utf-8"&g.

2021-01-06 10:45:07 658 7

原创 DevEco Studio自动安装JDK未安装成功的解决方案

原因:电脑用户名为中文,安装JDK时不能选择JDK安装路径,默认在用户名路径下了。而坑爹的中文用户名只有重装系统才能改,哭了。解决方法:1.打开DevEco Studio,点击Configure > Settings,打开Settings配置界面。2.进入Appearance & Behavior > System Settings > HarmonyOS SDK菜单界面,点击Edit按钮,设置HarmonyOS SDK存储路径。3.选择HarmonyOS SD

2020-11-27 19:47:12 2573

原创 读《雷军传》有感

雷军之与小米,好比三星之于韩国。尽管雷军和他的小米一直非常火爆,但是对雷军一直知之甚少,也无任何兴趣想要了解他。自从看了B站何同学对雷军的采访视频,我开始慢慢对雷军产生兴趣。在我的印象里,雷军还是那个“are you OK?”的弄潮儿,他长相非常“farm”,带着一口浓重的湖北口音,总给人一种很挫很挫、很屌丝很屌丝的感觉。谈吐举止,没有一般企业家的那种力拔山兮气盖世的气场,总是一副非常谦卑的样子,实在不像一个“企业家明星”。细读历史后,才知道这个技术宅男波澜不惊的一生。 ...

2020-11-19 21:30:30 2085 4

原创 Verilog设计1位全加器

code:module add_1bit(S,C_out,A,B,C_in);input A,B,C_in ;output S,C_out ;reg S,C_out ;always @(A or B or C_in)begin {C_out,S} = A +B +C_in ;endendmodule从抽象层次来说,这并不是用数电的设计方法来实现的,但是这不影响我们通过这个来讲讲Verilog和设计。 首先,全加器的作用就是在模拟一个 1+1=2 的过程。a,b是两个加数,

2020-11-16 10:32:17 6141

原创 Verilog分频器的设计(6分频和1.5分频)

一.分频器的设计分频:将一个时钟N分频,则分频等到的时钟频率为原时钟的1/N,而周期为原时钟周期的N倍。 4种分频: 2n 分频:如2、4、8、16分频等; 偶数分频:如6分频,10分频等; 奇数分频:如3分频,7分频等; 小数分频:如1.5分频、3.22分频等。 分频的方法:使用计数器实现。二、六分频器的设计偶数分频(2m分频):使用2m进制计数器实现,而且可使时钟均匀,占空比50%。...

2020-11-12 20:27:32 9554

原创 Verilog设计0到99两位十进制加法计数器

1.代码module cnt10_2bit(clk,rst,en,out0,out1,data);input clk,en,rst;output [3:0] out0;output [3:0] out1;output [7:0] data;reg [3:0] out0;//个位reg [3:0] out1;//十位wire [7:0] data;always @(posedge clk or negedge rst) if(!rst) begin

2020-11-12 10:30:45 12323 15

转载 TomCat报404错误的解决方案--亲测有效

对于刚接触javaweb的我,在eclispe写web项目时,在Tomcat服务器上运行总是报404错误,试了各种方法折腾了好几天,今天终于发现问题了呜呜呜。目录步骤1:404问题步骤2:正确的端口号步骤3:环境变量步骤4:确定Web应用是否成功启动步骤5:webapps下的ROOT目录步骤6:访问地址的时候是否加了web应用的名称步骤7:是否访问对资源了步骤8:不能访问WEB-INF目录下的资源步骤9:访问地址后...

2020-11-11 16:55:59 13089

原创 安装quartusⅡ简明教程

本教程以quartusⅡ13.0版本为例,按这个步骤我安装13.1版本也ok,应该各个版本安装步骤都是差不多,大家仔细点很快就安好了。1、准备: 百度网盘下载Quartus_130安装包。将下载的文件解压到除C盘以外的其他盘根目录下,里面包含2个可执行应用程序ModelSimSetup-13.0.0.156.exe, QuartusSetup-13.0.0.156.exe和一个Altera公司的Cyclone器件库cyclone-13.0.0.156.qdz和1个Quartus_13.0_x64破

2020-11-10 20:44:06 2758 1

原创 非eclispe ee版本如何建立web项目?

在Eclipse菜单help选项中选择install new software(安装新软件) 选项 2020-06 - http://download.eclipse.org/releases/2020-06

2020-11-07 19:17:10 1889 17

原创 解决java,sql.SQLException:Can not issue data manipulation statements with executeQuery();

这个异常是说不可以用executeQuery()来操作数据。这里说下executeQuery是查询操作的运行,而增删改insert/update/delete都是更新操作的运行executeUpdate()。

2020-11-06 20:00:40 1125

狂神说-HTML.pdf

主要根据狂神说视频整理的HTML

2021-11-12

狂神说视频-CSS笔记.pdf

主要根据狂神说的视频整理CSS

2021-11-12

EDA技术期末复习总结.doc

大学生EDA期末复习笔记

2021-01-26

C++对战游戏课设.zip

C++课程设计一个简单的对战游戏,程序有攻击、补血、武器、对战怪兽等功能。压缩包含工程代码、课程设计报告。

2020-05-17

贪吃蛇java课设.zip

大二的一个简单的java贪吃蛇课程设计,内含工程代码、课程设计报告。利用的java的GUI设计游戏界面,有游戏背景图片和音乐。

2020-05-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除