自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(5)
  • 收藏
  • 关注

原创 win10 锁屏时间无法设置 解决方法

win10 锁屏时间无法设置 解决方法问题描述:解决方案:标题问题描述:win10 无法修改屏幕保护时间解决方案:标题将下段代码复制进txt,保存成reg,然后运行。dword:0000003C,为设置的时间16进制秒数Windows Registry Editor Version 5.00[HKEY_LOCAL_MACHINE\SOFTWARE\Microsoft\Windows\CurrentVersion\Policies\System]“InactivityTimeoutSecs”=d

2021-04-07 14:00:05 5603

原创 STM32F4 DMA传输PWM 利用ADC测量显示

STM32F4 DMA传输PWM 利用ADC测量显示Talk is cheap ,show me your code !!!dma.cpwm.cadc.cTalk is cheap ,show me your code !!!main.c#include "delay.h"#include "key.h"#include "sys.h"#include "usart.h"#inclu...

2019-10-10 17:34:30 674 1

原创 matlab巴特沃斯滤波器用法

基于matlab 的数字滤波器clearclcfs = 22050;wp=0.1*pi;%通带截止频率ws=0.4*pi;%阻带截止频率Rp=3;%通带衰减率Rs=75;%阻带衰减率Fs=22050;Ts=1/Fs;wp1=2/Ts*tan(wp/2); %将模拟指标转换成数字指标ws1=2/Ts*tan(ws/2); ...

2019-05-30 18:25:25 20772 7

原创 matlab语音处理

在语音信号中加入随机噪声;播放加噪声后的语音信号,绘制加噪后的语音信号;clearclc %清屏fs=22050;%采样频率22050N=1024; %采样点数n=0:N-1;x=audioread('wslh.wav');%读取音频L=length(x);noise=0.005*randn(L,1);%生成一个正态分布的噪音信号x_z=x+no...

2019-05-30 18:22:22 681

原创 一个基于verilog的FPGA 的LCD 1602 显示程序

FPGA LCD1602 显示程序代码片#这段代码 是我参考网上的程序修改的代码,代码最终实现在LCD1602 上显示 “小姐姐”字样, 由于LCD的点阵只能实现5*8,“姐”字我用了两个字节显示,以下是代码。 代码我自己亲自测试过的。代码片去博客设置页面,选择一款你喜欢的代码片高亮样式,下面展示同样高亮的 代码片.// An highlighted blockmodule lcd_16...

2019-03-04 20:19:52 7110 2

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除