自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

凳子花❀的博客

青州街男子职业技术学院电科专属

  • 博客(65)
  • 资源 (1)
  • 收藏
  • 关注

原创 3D深度相机调研【史上最全,不服来战】

文章目录读前须知本文下载1 TOF1.1 Kinect v21.2 PMD CamCube 3.01.3 Mesa Swiss Ranger 40001.4 Creative Senz3D/DepthSense 5251.5 ifm1.6 Basler1.7 Terabee1.8 Phab2 Pro1.9 奥比中光Femto2 双目视觉2.1 ZED2.2 Bumblebee系列2.3 Leap Motion2.4 DUO 3D2.5 Viper工业级双目立体相机2.6 Carnegie Robotics®

2022-03-16 11:05:12 20166 21

原创 ICC使用----ICC 1 Lab Guide学习笔记

文章目录PrefaceICC 1 Lab GuideNote:1.Data Setup & Basic Flow(P27)Learning ObjectivesCreate a Milkyway libraryLoad the Netlist, TLU+, Constraints and ControlsBasic Flow: Design PlanningBasic Flow: PlacementBasic Flow: CTSBasic Flow: Routing2.Design Planning

2020-09-21 08:16:47 8645 1

原创 所有文章汇总

文章目录一、Git[1.Git出现error: could not lock config file C:/Users/.gitconfig: Permission denied](https://blog.csdn.net/qq_42759162/article/details/105027634)2.使用Git命令批量上传文件二、数字IC设计相关知识(一)知识点讲解1.Synopsys逻辑综合及DesignCompiler的使用(二)工具使用1.ModelSim+Debussy联调2.Modelsim的

2020-08-01 23:15:31 1165 2

原创 Synopsys逻辑综合及DesignCompiler的使用

逻辑综合一. 基础知识逻辑综合的目的:决定电路门级结构、寻求时序(性能)和面积的平衡、寻求功耗与时序的平衡、增强电路的测试性。二. 逻辑综合流程Design Compiler使用使用DesignCompiler综合过程:一. Design Compiler打开方式一共有4种打开方式:1.dc_shell-t1.1 在shell中输入dc_shell-t1.2 也...

2020-04-15 18:31:38 18090 9

原创 HLS xfopencv库综合遇到的问题汇总

【代码】HLS xfopencv库综合遇到的问题汇总。

2024-02-19 12:58:18 221

原创 HLS 三角函数报错:undefined reference to ‘cordic_apfixed::circ_table_arctan_128‘

circ_table_arctan_128是hls中用在CORDIC算法里面的一些提前计算好的数据,CORDIC算法我就不介绍了,自己百度一下网上很多,一般用在FPGA中简化三角函数的计算。通过GPT大法,我最终算出了可靠的值,大家直接将。网上搜了很久,没有相应的解决方案。于是自己研究为什么会这样,个人感觉这个circ_table_arctan_128变量的定义应该是在某个动态链接库中,但是找了一下没找到相应的库,因此只能用最笨的方法了。这样重新编译,hls就不会报错了。,可见该变量是个外部变量。

2024-02-08 21:49:02 562 1

原创 使用HLS FFT报错: undefined reference to‘xilinx_ip_xfft_v9_1_*‘问题解决方法

输入o(小写的o)进入输入模式,输入动态库的绝对路径/tools/Xilinx/Vitis_HLS/2021.1/lnx64/tools/fft_v9_1和/tools/Xilinx/Vitis_HLS/2021.1/lnx64/tools/fpo_v7_0。从hls_fft.h逐步定位到xfft_v9_1_bitacc_cmodel.h中发现这几个函数都只有声明,而找不到定义。输入完成后,按下esc键,然后输入:wq,保存并退出。重新make clean,再编译,发现问题解决了。中,我们将其软链接到。

2024-02-08 21:34:49 382

原创 数字芯片验证入门

验证策略不会涉及验证的详细计划,验证计划就是对验证策略进一步详细地阐述,包括详细时间安排、人力需求、TB结构、配置、提取Verification feature并划分优先级、TB局限性分析、reuse组件、 testcases规划、覆盖率和每个阶段验收标准等等,甚至可以包含coding guideline。以下是写验证计划时,需要涉及的一些参考点。可以通过这样的方式描述:通过什么样的输入(input),RTL会做什么反应(process),最终有什么的结果或输出(output),也就是IPO原则。

2023-08-11 12:09:12 511

原创 Linux常用命令

将在指定文件夹中查找所有包含 “example” 的文件,并输出匹配的文件名和行号。命令在指定文件夹的文件中查找字符串,并输出匹配的文件名和行号。参数时,请确保在替换之前备份重要的文件,以免意外的数据损失。是一个流编辑器,它可以用来进行文本替换和编辑。将在指定文件夹中的所有文件中进行字符串替换。命令来在指定文件夹的文件中替换字符串。

2023-08-04 10:04:13 362

原创 UVM简介

UVM为硬件设计的验证提供了一个完整的框架和一组规范,旨在提高验证工程师的生产力,降低验证任务的复杂性,并促进团队间的协作。在 UVM(Universal Verification Methodology)中,Agent、Monitor、Driver 和 Sequencer 是用于硬件验证的重要组件,它们通常在环境(Environment)中被使用,协同工作以完成对设计(DUT)的验证任务。通过将测试过程分为不同的阶段,UVM 可以更好地控制测试台架的执行流程,确保每个组件在合适的时机执行相应的任务。

2023-08-01 16:01:55 3302

转载 数字IC/FPGA设计基础_门控时钟(clock gating)

文章目录数字IC/FPGA设计基础_门控时钟(clock gating)数字IC/FPGA设计基础_门控时钟(clock gating)数字IC/FPGA设计基础_门控时钟(clock gating)(数字IC)低功耗设计入门(五)——RTL级低功耗设计(续)

2023-07-20 14:36:51 417

原创 HLS新手入门教程

HLS新手入门笔记

2023-02-16 23:34:49 7127 2

原创 cuda-gdb提示:/tmp/tmpxft_***.cudafe1.stub.c: No such file or directory.

cuda-gdb:No such file or directory.

2022-07-25 14:36:22 1509

原创 【立体匹配论文阅读】【三】INTS

本博客可以理解为对论文的翻译和总结整理,并且尽量会在其中添加一些在其他博客搜到的理解,PPT可以在文末下载。PPT是本人创作,希望可以帮到大家。1.Xu Huang, Yongjun Zhang, and Zhaoxi Yue. Image-guided non-local dense matching with three-steps optimization. ISPRS Congress 2016.2.PPT下载......

2022-06-13 15:05:01 1107 3

原创 一个简单LEGv8处理器的Verilog实现【四】【单周期实现基础知识及模块设计讲解】

文章目录博客结构安排本系列其他博客源码下载参考资料参考资料下载好的,本节就先讲到这里,如果你有收获且乐意的话,麻烦点个赞哦,收藏也可以哇( ̄▽ ̄)~*博客结构安排本系列博客共分为5篇:第一篇对一些处理器基础知识进行简单讲解,并讲清楚实验要求。第二篇从指令、寄存器、汇编器的角度对设计处理器所需要的基础知识进行较为详细的讲解,并对实验所需汇编程序进行了分析。本系列其他博客一个简单LEGv8处理器的Verilog实现【一】【实验简介】一个简单LEGv8处理器的Verilog实现【二】【基

2022-06-05 22:13:00 1927 2

原创 libSGM的horizontal_path_aggregation程序解读

文章目录一、前言二、程序解读1. horizontal_path_aggregation.cu2. path_aggregation_common.hpp三、复盘一下整个流程一、前言最近想实现AD_Census的扫描线优化程序加速,自己将C++的程序改为CUDA程序后,速度还降低了100倍(小菜鸡暴风哭泣),无奈只能网上找一些参考例程看看。直接搜AD_Census又没有,只能曲线救国,找找开源较多的SGM的程序看看。libSGM是小日子过得不错的日本选手开发的开源程序,源码网址在这里:fixstars

2022-05-31 18:01:23 1060 5

原创 gvim【三】【_vimrc配置】

GVIM可以通过修改vimrc来灵活配置你的编辑器。这里先给出我的vimrc:"设置背景主题"colo Candyset nocompatible" Vim with all enhancementssource $VIMRUNTIME/vimrc_example.vim" Remap a few keys for Windows behaviorsource $VIMRUNTIME/mswin.vimset expandtab"set tabstop=4set guifont

2022-05-26 22:18:07 3873 1

原创 gvim【一】【安装和基本使用】

文章目录安装基础使用模式简介编辑模式命令模式1. 光标移动2. 复制/剪切/删除/粘贴操作3. 撤销/恢复4. 其他末行模式有关Vim的具体介绍请查看:Linux虚拟机(CentOS5)学习笔记(三)之Vim,此处不再具体介绍,只讲解一些GVIM的安装和基础使用。安装GVIM下载:GVIM打开上述网页(可能比较慢),然后点击下图红框所示下载安装包:双击.exe程序,开始安装,点击下一步:勾选“我接受…”,然后点击下一步:默认即可:兼容性与扩展选择:Vim原始版本和所有扩展(缺省),这

2022-05-12 17:48:01 14852 7

原创 一个简单LEGv8处理器的Verilog实现【三】【工具使用和编程规范】

文章目录博客结构安排本系列其他博客源码下载参考资料参考资料下载工欲善其事,必先利其器。为了更好的进行Verilog开发,我们首先需要掌握最基本的开发工具,包括但不限于:好的,本节就先讲到这里,如果你有收获且乐意的话,麻烦点个赞哦,收藏也可以哇( ̄▽ ̄)~*博客结构安排本系列博客共分为5篇:第一篇对一些处理器基础知识进行简单讲解,并讲清楚实验要求。第二篇从指令、寄存器、汇编器的角度对设计处理器所需要的基础知识进行较为详细的讲解,并对实验所需汇编程序进行了分析。本系列其他博客

2022-05-09 22:06:11 1747

原创 【立体匹配论文阅读】【二】CREStereo

文章目录摘要摘要随着卷积神经网络的出现,立体匹配算法近年来取得了巨大的进展。然而,由于实际的复杂因素,如薄的结构、非理想的校正、相机模块的不一致性和各种各样的硬场景,从智能手机等消费者级设备拍摄的真实世界的图像对中准确提取差异仍然是一个巨大的挑战。在本文中,我们提出一套创新的设计来解决实际的立体匹配的问题:1)为了更好地恢复好深度细节,我们设计一个层次网络反复细化更新而且方式差异,以及叠级联结构推理;2)提出了自适应的群体关联层来减轻错误矫正的影响;3)我们引入一个新的合成数据集,特别注意困难的情况,以

2022-04-20 19:53:23 7597 12

原创 通过Anaconda搭建CREStereo虚拟测试环境(Win10系统)

文章目录搭建CREStereo环境一、Anaconda下载安装二、通过Conda搭建环境1. 创建虚拟环境2. 安装cuda3. 安装megengine5. 安装opencv_python6. 安装Pillow7. 安装tensorboardX8. 查看是否安装成功:9. 删除环境测试搭建CREStereo环境CREStereo的github代码:megvii-research/CREStereo本文主要是在Windows10上通过Anaconda搭建CREStereo的测试环境(不是训练环境)。先

2022-04-20 19:25:37 4317 21

原创 一个简单LEGv8处理器的Verilog实现【二】【指令相关基础知识与实验分析】

文章目录一、LEGv8指令集1. LEGv8汇编语言伪指令二、寄存器三、汇编器基础不牢,地动山摇。本节先讲解在设计LEGv8处理器时用到的一些有关处理器的基本知识,建议初学者再学一遍。本节主要分两大部分来进行讲解,第一部分是指令,第二部分是寄存器。在讲解完这些知识后,将对本次实验的两个程序进行分析,以便于后续编程。一、LEGv8指令集1. LEGv8汇编语言指令在计算机内部是以一系列或高或低的电信号表示的,形式上和数的表示相同。实际上,指令的各部分都可看成一个独立的数,将这些数拼接在一起就形成了指

2022-04-14 00:38:10 3758 2

原创 【立体匹配论文阅读】【一】AD-Census

在图形硬件上构建精确的立体匹配系统On Building an Accurate Stereo Matching System on Graphics Hardware说明:本博客可以理解为对论文的翻译和总结整理,并且会在其中添加一些在其他博客搜到的理解,PPT可以在文末下载。PPT是本人创作,希望可以帮到大家。全局算法不适合并行,因为建立了复杂的能量函数,需要用同样复杂的迭代优化算法进行求解,这种优化算法并行处理难度高,并且加速比也比较低,所以现有很多全局算法无法得到应用AD-Census

2022-04-11 16:03:05 1978

原创 一个简单LEGv8处理器的Verilog实现【一】【实验简介】

文章目录一、写在前面二、实验介绍指令集微处理器测试编写一、写在前面如果你是数字IC设计小白,建议阅读以下内容;如果你基础比较扎实且时间较紧,可以直接跳到第二部分开始正文。本实验是在完成《数字集成系统设计》课程大作业时实现的,由于在实现过程中可以比较深刻的体会到处理器的设计流程,故分享出来给初学者提供一个简单参考。当然,作为一个大作业,难度并不太高,没有实现太多的指令,也没有实现多发射等操作。此外,本人水平也有限,代码质量可能不是太高,也没有进行优化,仅限于完成操作,故可能有较多不足,还请大佬批评指正

2022-04-08 20:50:53 3670

原创 双目立体匹配入门【二】(实战)

文章目录参考文献一、环境搭建参考文献Windows10 环境下使用 Cmake 和 MinGW-w64 编译安装 OpenCV 4.0.1Windows 10 Clion 配置 Opencv 4.0.1立体匹配理论部分请查看文章:双目立体匹配入门【一】(理论)一、环境搭建请先通过以下链接下载安装包,安装包中包含搭建环境所需要的所有文件:然后根据Windows10 环境下使用 Cmake 和 MinGW-w64 编译安装 OpenCV 4.0.1和Windows 10 Clion 配置

2022-02-25 22:59:01 1680

原创 双目立体匹配入门【一】(理论)

参考文章:1.双目视觉简介2.学习笔记-双目立体视觉简介3.立体匹配算法原理与应用-奥比中光4.双目立体匹配-江佩视觉建议刚入门的先观看参考资料3,讲的很详细,也通俗易懂,本文也主要是依照该课程做的笔记,但额外补充了一些东西。参考资料4的视频讲的很简单,但是有很多概括性的东西,内容我基本都总结进本文里面了。版权声明:本文只用作学习用途,如有侵权,请联系。***注:***本文内容只是总结了网上一些学习资料,如有疑问,请讨论指正。1 专有名词双目立体视觉:Binocular Stereo Vi

2022-02-22 23:18:05 12661 5

原创 cadence virtuoso画版图提示LUP.6错误

最近通过cadence画这个电路版图时遇到了latch up的错误,具体错误如下:LUP.6 ( @ Any point inside NMOS source/drain space to the nearest PW STRAP in the same PW<= 30 um @ Any point inside PMOS source/drain space to the nearest NW STRAP in the same NW<= 30 um @ In

2022-01-10 11:19:33 7463 1

原创 Word设置标题以及自动编号——保姆级教程

前言上学期间可能经常会用到Word来写一些报告、论文之类的文章,这个时候就需要用到分级标题,然而Word自带的标题往往不能符合我们要求的格式,这个时候就需要我们自己来设置了,一下方法都是我自己摸索出来的,不一定是最优方法,但应该可以满足一些基本需求。注:我这里用的是Office365 2016版,不同版本之间可能略有区别步骤1. 右键新建一个word打开后如下图所示:图中红色框的地方,新建之后往往是Word默认字体(具体是啥我忘了),但我这里打开就是宋体,小四,这是因为我修改了默认设置,所以后

2021-10-10 19:28:19 43476 5

原创 VHDL硬件描述语言学习笔记(三)

文章目录1.4.5 return 语句1.4.6 null语句1.4.7 其它语句和说明1.5 VHDL并发语句(Concurrent)1.4.5 return 语句return语句只能用于子程序中,并用来终止一个子程序的执行。格式:return [表达式];分为:1)return;用于过程,只是结束过程,不返回任何值。2)return 表达式;用于函数,并且必须返回一个值。例:用于过程的return语句procedure rs(s,r: in std_logic; q,nq:

2021-09-19 14:55:44 2035

原创 VHDL硬件描述语言学习笔记(二)

文章目录1.3 VHDL语言要素1.3.1 VHDL文字规则1.3.2 数据对象1.3.3 VHDL数据类型1.3.4 VHDL中的表达式1.4 VHDL顺序语句(Sequential)3.4.1 对象与赋值语句1.4.2转向控制语句1.3 VHDL语言要素四类语言要素:数据对象(Data Object)数据类型(Data Type)操作数(Operands)操作符(Operator)1.3.1 VHDL文字规则数字型文字(1)整数文字:十进制整数如:5,678,156E2(=15

2021-09-14 16:21:40 4722

原创 VHDL硬件描述语言学习笔记(一)

一、概述1.1 什么是VHDLVHDL:超高速集成电路硬件描述语言VHSIC(Very High Speed Integrated Circuit,超高速集成电路)HardwareDescriptionLanguage1.2 VHDL历史80年代初由美国国防部在实施超高速集成电路(VHSIC)项目时开发的。1987年由IEEE协会批准为IEEE工业标准,称为IEEE1076-1987。各EDA公司相继推出支持VHDL的设计环境。1993年被更新为93标准,即EEE1076-1993。

2021-09-11 23:11:42 1403

原创 Git基础命令学习

操作具体步骤:1. cd 路径进入当前目录2. 配置git基本操作 Git config --global user.name “” Git config --global user.email “” 【注】git没有提示就是好消息3.1)建立远程仓库(github)2)git init 在本地进行初始化(在本地建立建立一个暂存区),.git文件存储当前项目的所有版本信息4. 工作区 =》暂存区(stage or index) Git add 文件名 Git add * 提交所有

2021-08-16 00:30:28 1102

原创 Makefile学习笔记

写在前面:如果您只是想快速了解Makefile的基础知识,建议直接跳转到二、入门文章,然后转到相应链接去查看原文,本文只是摘抄了一些比较重要的知识;如果您想深入了解Makefile语法请先查看二、入门文章有一定的基础后再去三、进阶文章去查看原文,进阶文章对于初学者来说可能比较难看懂,需要稍微对Makefile有一定的了解。本文列出了进阶文章原文对应的目录链接,有需要者可以直接跳转到对应原文进行查看。本文第一部分列出了GNU_Make的英文参考手册,方便大家查阅,还有一个是Github上一位大佬的文章。

2021-08-15 23:20:47 479

原创 Verilog实现FIFO设计(二)之异步可变位宽,可变深度

请查看:异步FIFO—Verilog实现写的非常好,此处不再赘述。补充一下采用博主代码实现时候的一些问题(可能是我个人的问题):在fifo_async这个模块中的下列代码://=========================================================write fifo genvar i;generate for(i = 0; i < data_depth; i = i + 1 )begin:fifo_initalways@(posedg

2021-08-15 21:57:38 2092

转载 ubuntu16.04 python2&3 pip升级后报错: sys.stderr.write(f“ERROR: {exc}“)

描述最近使用ubuntu16.04上的pip时提示升级,升级后报错:Traceback (most recent call last): File "/home/brian/.local/bin/pip3", line 7, in <module> from pip._internal.cli.main import main File "/home/brian/.local/lib/python3.5/site-packages/pip/_internal/cli/main.p

2021-07-21 15:37:54 1097

原创 通过qemu-riscv64实现Tengine

1. 前言最近做项目想要实现一下tengine,无奈手头没有板子,通过搜集资料,选择先通过qume模拟器查看一下在riscv64上实现的效果,本文主要参考虫叔的这篇文章:Tengine 支持 RISC-V 模型部署-C910。因为虫叔写的比较早,代码更新了一部分,所以我只是在原文基础上进行一些补充。2. 实现过程2.1 环境2.1.1 工具下载Ubuntu Linux16.04csky-qemu-x86_64-Ubuntu-16.04-20210202-1445riscv64-linux-x8

2021-07-13 10:49:46 626 2

原创 cmake学习

最近做项目用到了cmake,起初就跟着教程敲了几条命令,但有很多地方不理解,所以就搜了几篇教程,转载一下,博主写的贼好,入门足矣:1.CMake入门实践(一) 什么是cmake2.CMake入门实践(二) 多文件构建3.CMake入门实践(三) :复杂的HelloWorld项目...

2021-07-13 09:42:02 120

原创 cmake出错:Building inplace are not allowed. You should create a separate directory for Building.

参考:“In-source builds are not allowed” in cmake只需要把CMakeCache.txt 删除即可:rm CMakeCache.txt 。然后再执行cmake …即可。

2021-07-07 17:56:59 846

原创 ADS元器件面板介绍

ADS元器件面板

2021-04-15 20:40:08 2637 1

原创 4人抢答器----基于Step MAX10小脚丫开发板

设计任务1、四人通过按键抢答,最先按下按键的人抢答成功,此后其他人抢答无效,抢答成功的人对应的LED灯亮。2、每次只有一人可获得抢答资格,一次抢答完后主持人通过复位按键复位,选手再从新抢答。3、有重新开始游戏按键,游戏从新开始时每位选手有5分的初始分,答对加1分,答错扣1分,最高分不能超过9分,最低为0分。4、每位选手和主持人共有30秒时间进行回答和加减分,在此期间,RGB为蓝色灯;超过30秒,RGB变为红色,即报警;未开始抢答,则RGB灯为绿色。5、选手抢答成功时通过数码管显示其对应的分数。代

2020-12-12 21:35:08 3915 2

基于51单片机的高级自走车资料

里面有电机驱动介绍,高级自走车程序,红外遥控,完整架构,安装指南,自坐车接线说明,遥控器

2018-07-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除