自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

夜半少年的博客

我相信,金子在哪都会发光。

  • 博客(276)
  • 资源 (40)
  • 收藏
  • 关注

原创 【Cadence Allegro】如何差分对走线

一、创建espshift+F2创建espice模型。二、创建差分对将差分信号添加到差分对,创建差分走线规则,三、等长测试差分对走线完后还要核对等长是否满足规则要求,按下f字母“delay tune”并右键选择单引脚走线模式,一边调走线一边看sphase等长测试状态

2024-04-02 01:29:44 79

原创 【Cadence Allegro】如何设计PCB封装库(PCB Footprint)

【Cadence Allegro】如何设计PCB封装库(PCB Footprint设计)一、[焊盘库制作]必要!!!首先,选择需要创建的焊盘类型(可能会创建焊盘主要有:通孔...其次,如果是通孔焊盘过孔,drill-栏需要设置钻孔尺...然后,design layer一栏设置begin层、internal内层与end层..最后,阻焊层焊盘尺寸加大0.15MM/6Mil,加大尺寸使阻...二、[封装库]首先,[封装库-Pin放置]使用现有的已制作好的焊盘库,根...然后、[封装库-图形绘制]

2024-04-02 01:03:39 96

原创 【Cadence Allegro】如何创建光绘层

我们将Netlists网表导入到PCB板框,并把元器件大致放置到板框后,建议首先先将布线相关的一些光绘层给创建出来以便于我们后面布局布线。首先,菜单栏【Display】→【Color/Visibility...】,将所有层全部关闭显示(如下图)。一、创建PCB走线光绘层二、创建阻焊光绘层三、创建钢网(助焊)光绘层四、创建丝印光绘层五、创建装配层光绘层

2024-04-02 00:21:57 123

原创 【Cadence Allegro】如何取消布线

【Cadence Allegro】如何取消布线?按下“Delete”按键后,在右边的Find栏里,我们先点击OFF进而关闭所有显示项目,然后只勾选“Clines”。PS: 如果不能全部删除,查看cline线的属性是否有被fix锁定了,unfixed解锁后再删除……点击刚刚的D0信号布线两下,就可以看到布线已经删掉了。另外,如要你要把取消所有布线,用鼠标把所有cline全部框选就行了。比如我们现在想要删除如下D0上的蓝色cline布线

2024-04-01 23:29:34 104

原创 【元器件-电阻篇】0Ω电阻作用

电路设计与调试过程中,我们很多时候要用到0Ω电阻(如下图),那么0Ω电阻到底在这过程中充当了什么样的角色呢?#创作灵感#:脑海存留的如下几点疑惑需要解开。1、电路初次设计使用串接电阻为什么是2、单板调试时为什么有时候会用到3、0R电阻在什么情况下是0R电阻实质就是"跨接电阻器"(简称JUMPER,全称Thick Film Chip JUMPER Resistor),在实际应用中有了0R,硬件设计与调试就会很方便和高效。

2024-03-28 01:34:55 39

原创 【病毒查杀】“PE启动盘+360杀毒”

通过本步骤,用户可使用WinPE版本360系统急救箱软件对主板硬盘进行病毒木马扫描和杀毒操作。360系统急救箱,这款软件是360官方推出的一款杀毒工具箱,可以帮助用户仅在WinPE环境下就可对硬盘进行病毒木马查杀,可能查杀过后因病毒木马导致崩溃的操作系统也会恢复正常。一、运行杀毒软件1、将杀毒软件(SuperKillerWinPE.zip)...

2024-03-10 18:05:00 577

原创 【PE启动盘】制作一个PE启动盘

PE,全称Windows Preinstallation Environment,即Windows预安装环境,是一种轻量级的便携式操作系统,可以直接装载至U盘里运行。通过PE启动盘我们能做非常多的应急操作,比如格式化硬盘、备份系统、重装系统等。此次演示分别演示使用EasyU和微PE工具制作PE启动盘。​在“IT天空-优启通软件下载”网站下载最新版本的EasyU工具,本次演示使用EasyU_3.7.2022.0910进行PE启动盘制作​点击“微PE工具箱”可下载微PE工具安装包。

2024-03-10 16:31:51 1188

原创 【ghost】制作一个DOS启动盘

常用的DOS启动盘制作工具有USBoot、Ghost及FlashBoot等,本次DOS启动盘使用Ghost工具制作。DOS启动盘制作工具,如下两个软件:工具软件“Ghost32.exe”。 “Ghost32.rar”解压至Ghost32.exe并拷贝至PC机本地;镜像文件“DOS710.GHO”。 “DOS710.GHO”拷贝至PC机本地。开始制作(1) PC机插入U盘,本地运行解压出的Ghost32.exe

2024-03-10 13:21:13 741

原创 【电路板打样调试】为什么上电后电池很烫

电池很烫说明放电太快了(对应的放电电流很大),化学能转变电能时会放热,正确使用过程较慢不会显得很热。②电池装的是否正确(比如电池通过红黑线连到pcb板,需要确认这个红黑线是否够粗)①需要查看设计的pcb电路与电池规格是否匹配,④可能充电电压确实太高,充电时电压大于4.3V。③电路上是否有连电等现象。

2023-12-24 17:31:48 493

原创 【下载工具】适用百度文库 道客巴巴等等文库

②易读百度豆丁文库下载器。

2023-12-24 17:23:20 638

原创 【Altium designer】如何绘制方形pcb板框

首先在菜单栏下,点击edit→ origin→set。最后在keep_out layer定义绘制一个边界。进入pcb designing界面。

2023-12-24 16:52:27 742

原创 常用网址-2023整理

Gitee - 企业级 DevOps 研发管理平台 (国内)5、线上PCB样板打样平台。2、嵌入式相关供应商网站。6、元器件模块选型、购买。11、考试类课程资源网站。10、毕设论文相关网站。4、辅助设计的小工具。

2023-01-27 14:36:10 15236 1

原创 【嵌入式工程师·Linux】③C语言基础

参考《C语言路径》图达到小菜鸡就能上手Linux

2022-06-16 22:18:58 723 1

原创 【嵌入式工程师·Linux】② Linux系统命令与配置

一、Linux系统命令(CentOS、Ubuntu) 1、目录&文件 pwd,ls,cd,cp,mv,rmmkdir,rmdir,mount,umount touch,vi/vim,cat,more,tail,head,diff,echo 2、用户 sudo,chmod,useradd,usermod,userdel,passwd,groupadd,groupdel 3、系统 ps,kill,top,free,df,

2022-06-16 21:19:36 654

原创 【嵌入式工程师·Linux】① 基础概念

1、 计算机 由数字电路组成的可以运算的机器 可以处理文本、声音、图像等数据 标准化的CPU、内存、硬盘、外接设备2、嵌入式 定制/裁剪后的专用于某个用途的“计算机”3、操作系统 什么是操作系统 Windows、Linux、Mac、Android、IOS 进程、线程、调度、文件4、程序 由一系列计算机指令组成 安装到操作系统中运行 编译,链接、

2022-06-16 21:14:00 585

原创 【嵌入式工程师·单片机】① 基础概念 之 单片机

【嵌入式工程师(单片机)之路】①基础概念--单片机。学习背景:单片机作为一款微型计算机,它在电子设计与应用还是挺广的,且对初学者入门学习嵌入式十分友好学习目的:迈出嵌入式工程师(单片机)小菜鸡阶段之路的第一步学习内容:1、单片机的背景由来。2、单片机的组成及原理。3、8位、16位、32位的单片机之间有什么区别?4、单片机的当前应用场景。5、如何画一块单片机核心板(原理图+PCB)6、单片机程序是如何运行7、单片机程序如何调试............

2022-06-06 23:16:57 485

原创 TVS管烧坏把其他电子产品烧毁,到底是谁的责任

返修问题描述:B公司在使用A公司的端子板(DC24V电源供电)通电一段时间后,端子板出现内部0V_IN与+24V_IN短路,因此,B公司初步判断为A公司的该端子板存在质量问题,需要退回原厂(A公司)调查分析/返修。而后,B公司在更换新的端子板后整机通电是无异常,但相机设备通不上电,因此B公司初步判定为端子板内部短路造成相机设备内部电源模块损坏,现B公司已要求将相机设备退回原厂调查分析/返修。贵司(麦逊)在使用我司(固高科技)的端子板(DC24V电源供电)通电一段时间后,端子板出现内部0V_IN与

2022-04-22 00:15:57 4574

原创 【Altium Designer】解决元器件引脚闲置出现波浪线警告

Altium Designer 6.9原理图,芯片引脚闲置,出现波浪线警告提示解决方法,波浪线:DRC警告/错误的标识,芯片管脚闲置,即芯片的这些引脚没有与之电气连接的电路,属于闲置引脚①可以通过放置no design ruler check 去除DRC警告,标志来清楚...

2022-04-21 00:02:19 19634

原创 【Altium designer】BOM导出 Bill of Materials 如何自定义格式

【Altium designer】如何自定义BOM导出格式我们用Excel做一个xx.xlt的2003的模版文件,取文件名为:AltiumDesiger PCB BOM Template.xlt将AltiumDesiger PCB BOM Template.xlt文件存放在:AltiumDesiger 安装目录的Template文件夹内

2022-04-20 23:28:17 1174

原创 【Altium Designer】Off grid pin解决方法

​今天我画完原理图编译时,出现了太多的黄色(出现warning警告提示)Off grid pin警告,这个警告并不是原理图电气连接出问题了,而是元器件或器件PIN脚没有和栅格对齐造成的原因。再编译还是出现这个警告。最后经过不断翻阅网上经验和实践,才最终解决,本经验详细记录了解决该警告的有效方法。解决方案:以下是问题解决步骤...​

2022-04-20 23:13:15 4065

原创 【STM32-机智云平台】ESP8266模块,如何烧录机智云固件,参考经验贴

1、首先需要知道如何找到并烧录机智云固件。????stm32接入机智云平台实现app控制led灯_wangpan0330的博客-CSDN博客_机智云智能灯app准备工具:stm32f407 单片机esp8266 WiFi模块PC机智云appflash烧写工具第一步烧写机智云Gagent固件进入下载中心,选择硬件资源开发GAgent,选择我们要烧写的esp8266GAgent把烧写下载好的GAgent固件(1)选择和单片机匹配的flash大小(2)GAgent_00ESP826_04020034_32Mb

2022-02-05 16:45:30 1671

原创 【电子硬件】FPC、FFC和插座的常识

柔性印刷电路板(flexible printed circuit board)是用柔性的绝缘基材制成的印刷电路,具有许多硬性印刷电路板不具备的优点。它可以自由弯曲、卷绕、折叠,可依照空间布局要求任意安排,并在三维空间任意移动和伸缩,从而达到元器件装配和导线连接的一体化。利用fpc可大大缩小电子产品的体积,适用电子产品向高密度、小型化、高可靠方向发展的需要。因此,fpc在航天、军事、移动通讯、手提电脑、计算机外设、pda、数字相机等领域或产品上得到了广泛的应用。fpc连...

2021-12-06 00:17:20 1269

原创 【设计经验】PCB测试点的一般要求

PCB测试点的一般要求_司端杨的博客-CSDN博客_pcb测试点 关键性元件需要在PCB上设计测试点。用于焊接表面组装元件的焊盘不允许兼作检测点,必须另外设计专用的测试焊盘,以保证焊点检测和生产调试的正常进行。用于测试的焊盘尽可能的安排于PCB的同一侧面上,即便于检测,又利于降低检测所花的费用。1.工艺设计要求 (1) 测试点距离PCB边缘需大于5mm;(2) 测试点不可被阻焊剂或文字油墨覆盖;(3) 测试点最好镀焊料或选用质地较软、易贯穿...https://blog.csdn.net/we...

2021-12-06 00:07:34 1693

原创 【Cadence allegro】怎么在orcad原理图中显示/隐藏元器件的Value值

怎么在orcad原理图中显示隐藏元器件的Value值

2021-12-06 00:02:37 4436

原创 贴片电阻功率与尺寸对照表

电阻封装尺寸与功率关系,通常来说:02011/20W 0402 1/16W 0603 1/10W 0805 1/8W 1206 1/4W电容电阻外形尺寸与封装的对应关系是:0402=1.0×0.5 1/16W 0603=1.6×0.8 1/16W~1/10W 0805=2.0×1.2 1/10W~1/8W 1206=3.2×1.6 1/8...

2021-12-05 23:56:00 16616 1

原创 【Cadence Allegro】如何隐藏/显示飞线?

为何需要掌握隐藏/显示飞线操作?如何高效进行PCB布局设计?首先我们要理顺各个模块电路的布局思路,从而规划整板的布局布线。一般情况下都是通过查看整板各模块电路的飞线显示情况来大致确定我们的布局思路。因此我们先把网络连接的飞线(鼠线)暂时关闭。打开和隐藏一些飞线,比如整板的飞线,部分器件的飞线,某个元件管脚的飞线。布局完成后,也可以在布线时,打开部分飞线,这样看上去不会网络连接关系不会太乱,有利于布线的构思,优化与处理。Cadence怎么隐藏/...

2021-12-05 23:43:16 8612 3

原创 PCB设计需要掌握的基础知识 | 电子硬件

PCB设计学习是一个要经过锤炼的过程,没有一定经验是无法做出PCB精品的。1、 主流的PCB设计软件有哪些?一是"Altium Design",熟称AD;二是PADS;三是大名鼎鼎的"Cadence Allegro";2、什么是3W原则?答:3W原则指的是线与线中心间距≥3倍线宽。鉴于PCB设计为了防止高速信号线之间的串扰,需要满足3W要求(线中心距不少于3倍线宽),3W原则下可保持70%的线间电场互不干扰。3、...

2021-12-05 23:35:32 1761

原创 AltiumDesigner 使用技巧,提升设计效率

如果你的工作学习和硬件打交道,那么通过本博客掌握一点点Altium designer软件的使用技巧,一定能让画板子的时候快的飞起来。1、原理图画好导入PCB后,可开启 交叉探针模式(Cross Probe)高效进行元器件布局。 点击Tools->Cross Select Mode(快捷键Ctrl+Shift+X),这样直接在原理图里选中元件的时候,PCB里面同样也会同时选中。...

2021-12-05 23:15:40 808

原创 Altium designer原理图导到pcb中,出现一堆“Add pin to nets”错误?但Excute后又没问题?

Altium designer原理图导到pcb中,出现一堆“Add pin to nets”错误?但Excute后又没问题?能够引起这个问题的原因有很多:①你把2个PCB或2个原理图文档同时放在一个工程下时,会引起这个问题。因为一个工程内包含2个以上原理图文件时很容易出现元件标号相同的冲突问题,于是就会报出你这种Error;②你的原理图元件的管脚定义与PCB footprint封装中的管脚定义不相匹配,也会出现这个错误。比如一个二极管,在你的原理图中的两个管脚...

2021-12-05 23:03:44 8409

原创 常开常闭触点?限位开关?

常开常闭触点常态情况下处于断开状态的触点叫常开触点,当线圈通过外力作用/得电时,常开触点会闭合。常态情况下处于连通状态的触点叫常开触点,当线圈通过外力作用/得电时,常闭触点会断开。限位开关工作原理限位开关:一种根据运动部件行程位置而切换电路状态的电气开关。它的作用原理与按钮开关、急停开关等类似。

2021-12-05 22:46:45 3629

原创 【Altium designer】如何快速制作3D模型的PCB封装

AltiumDesigner给PCB库添加3D模型教程,希望对新手有所帮助。本视频亲测有效,全程免费。【3D模型库】下载网址:Free CAD Designs, Files & 3D Models | The GrabCAD Community Libraryhttps://grabcad.com/library【3D模型库】怎么使用该网站?假如我要下载STM32F103C8T6的3D模型,搜索链接↓:Free CAD Designs, Files &...

2021-11-12 23:36:32 1630 1

原创 【硬件工程师】JTAG接口电路上下拉 应用

JTAG接口电路上下拉1 JTAG 标准中的描述最新JTAG标准:IEEE_std_1149.1-2001图1 JTAG原理1.1 TMSTMS是启动使能信号(标准PAGE-11),上拉:1.2 TCKTCK是时钟信号(标准PAGE-9)上升沿或下降沿有效,可以上拉也可下拉,根据具体的器件要求选择:1.3 TDITDI是数据输入(标准PAGE-11),上拉:1.4 TDOTDO是数据输出(标准PAGE-12),三态输出,可以和TDI做...

2021-11-08 21:22:57 7410

原创 【eNSP实验-设备配置】常见故障处理方法:AR设备调试提示错误代码:40、41、42和43、 一直出现#####

一、打开AR设备提示错误代码:40 (40问题一般均是vbox问题) 或者打开设备一直出现###################,这种情况一般只会在win10上出现,可以参考以下方法解决(1)关闭防火墙(2)确保自己的virtualBox版本是5.1.20以上的版本(3)删除所有设备,并且在Ensp上重新注册(4)将VirutalBox虚拟网卡的DHCP关闭(5)确保VirutalBox虚拟网卡已经启动,并且IP地址为192...

2021-11-07 12:24:05 3785

原创 【HCIE-数通-华为认证】如何预约考试、备考实验+面试指南

1、关于预约考试 每月1号 下午4点~7点,华为会放出两个月后的考位(如遇到节假日或周末则顺延放出考位)(1)考过笔试,没约旧实验,在通过笔试的18个月内,预约新实验考试;(2)过了旧实验,没约面试,在270天内预约新实验考试;(3)挂了面试,可以在笔试成绩有效期内,预约新实验考试; [email protected]、关于备考 新实验:旧版实验(一摸一样)80分 + 论述题(两题)(最好实验是几乎全对) 论述题:场景分析+网络...

2021-11-07 10:47:27 1114

原创 【STM32单片机-编程入门】LED闪烁(寄存器操作、标准库函数方式)

工程下载:STM32单编程-LED闪烁.zip - 蓝奏云文件大小:291.1 K|https://www.lanzouw.com/iU8vVw5uh4d(适用STM32F103系列单片机)实验平台:正点原子STM32F103ZET6核心板(程序已通过验证)1、main主函数#include "sys.h"#include "delay.h"#include "led.h"int main(void){ delay_init(); //延时函数初始化 LED_

2021-11-05 00:25:54 2710

原创 【模拟电路知识】运算放大器没有反馈电路——做电压比较器应用

运放的功能及用途很复杂,而电压比较器就相对简单得多。电压比较器的基本原理比较两个输入端的电压大小若正输入端的电压为a,负输入端的电压为b,则:当a>b时,输出为高电平(逻辑1); 当a<b时,输出为低电平(逻辑0)。下面结合原理图进行说明,如下图原理图,使用运算放大器设计的电压比较器,输入端的电压为IN1、IN2,供电为VCC/GND。当输入电压IN1>IN2时,即正输入端的电压较高,输出高电平(VCC); 当输入电压IN2>IN1时...

2021-10-30 16:01:54 8519 2

原创 电流型和电压模拟量信号有何区别,如何互相转换

电流型和电压型模拟量信号有何区别?电流型模拟量信号:抗干扰能力强,但调试数据处理繁琐不常用不易用; 电压型模拟量信号:工程上应用性强可靠性强,但抗干扰能力弱。工程上电流转电压的精确度和可靠性往往要比电压转电流更好,也更常用。电流信号转换成电压信号:这个容易,只需要在电流输出端并联一定阻值的电阻,电阻两端的电压就是你需要的电压值。电压信号转成电流信号:在输出端之间串电阻,从而转换成电流信号。上面讲的方法,都是可利用欧姆定律进行转换的弱电信号,要考虑到电流信号/电压信号输出的带负载能力。 ..

2021-10-30 15:47:40 8481

原创 【PCB设计工具】在线 mil到mm单位转换、mm到mils换算

【PCB设计工具】在线 mil到mm单位转换、mm到mils换算网址链接:如何使用:millimeter [mm],...

2021-10-30 15:08:11 7376

原创 如何使用Everything搜索局域网共享文件夹?

看过一些网上介绍的方法,都需要在被共享的文件夹所在的远程PC机上安装everything后做相应配置。我需要的是本地PC上安装everything软件,但是远程共享文件夹所在PC没有安装everything软件且只开放读权限,我要如何用everything在本地PC上检索远程PC上的共享文件夹?...

2021-10-30 14:43:28 28358

原创 【硬件工程师】芯片手册阅读-电阻式触摸屏控制芯片

目录一、串口通讯二、触摸报告协议三、芯片的配置寄存器介绍四、芯片的读/写命令格式命令配置示例​以AR1000系列电阻式触摸屏控制器的ART1011-I/SS为例哈,芯片数据手册:PDF.js viewerhttps://www.semiee.com/static/js/pdfjs-1.10.88-dist/web/viewer.html?file=https%3A%2F%2Fwww.semiee.com%2Ffile%2FSo...

2021-10-24 23:43:07 1578

【Ghost工具】用于制作DOS启动盘的工具

​   常用的DOS启动盘制作工具有USBoot、Ghost及FlashBoot等,本次DOS启动盘使用Ghost工具制作。 制作前准备 1、装有win10(或win7)系统的PC机,1台; 2、U盘,1个;(建议用户选择兼容性较高的金士顿U盘;此次演示使用8G容量U盘制作,用户可根据控制器硬盘大小选择U盘容量) 2、如下DOS启动盘制作工具,两个软件: 工具软件“Ghost32.exe”。将附件“工具”压缩包里的“Ghost32.rar”解压至Ghost32.exe并拷贝至PC机本地; 镜像文件“DOS710.GHO”。将附件“工具”压缩包里的“DOS710.GHO”拷贝至PC机本地。 详细教程文章→https://blog.csdn.net/qq_42605300/article/details/136595742【[Ghost工具]用于制作DOS启动盘的工具】 ​

2024-03-10

【Altium Designer 】自定义格式 BOM模板

Altium Designer 自建BOM模板。 经验帖子:https://blog.csdn.net/qq_42605300/article/details/124310134 ① 新建表格。 我们用Exce建立一个Excle表格,做一个xx.xlt的2003的模版文件,取文件名为:AltiumDesiger PCB BOM Template.xlt。 ​ 接下来我们输入自己需要保存的参数。 这里我自己需要的内容如下: ② 表格移动 将AltiumDesiger PCB BOM Template.xlt文件存放在这个路径:Altium Designer安装目录\Documents\Templates ③ 在菜单栏中Reports-> Bill of Materials ④ 选择BOM template 找到 Excel Options,Template下拉列表里选择刚刚新建的AltiumDesiger PCB BOM Template.xlt ⑤ 最后勾选“Open Exported”→点击Export...,即可输出并查阅BOM文件 ​

2022-04-21

一文带你真正了解当下的嵌入式系统 PPT

1-1 嵌入式系统概述 1-1-1 基本概念 1-1-2 由来 1-1-3 发展历史 1-1-4 分类及特点 ... 1-1-8 微控制器MCU 1-1-9 应用处理器MAP 1-2 课后总结: 一、嵌入式系统的基本含义 二、嵌入式系统的由来、特点及分类 三、MCU的基本含义,以及MCU出现前后测控系统 ———————————————— 原文链接:https://blog.csdn.net/qq_42605300/article/details/104495204

2022-03-02

【STM32单片机-编程入门】LED闪烁(寄存器操作、标准库函数方式)

实验平台:正点原子STM32F103ZET6核心板(程序已通过验证) (适用STM32F103系列单片机) 经验文章→ https://blog.csdn.net/qq_42605300/article/details/121154360 1、main主函数 2、LED头文件: 3、LED源文件:

2022-03-02

STM32F103-PAJ7620-Project.zip

基于STM32F103单片机,通过PAJ7620手势识别模块实时检测并打印手势类型到串口调试助手。各位同学可基于该例程并根据自己的项目需求,拓展工程源码。更多详情,请浏览博客文章→https://xinso.blog.csdn.net/article/details/120616257

2021-12-12

HCIE-R&S-实验备考宝典-私藏秘籍-考试必过.zip

0、资料的使用、考试环境 1、先练习TS(完整版一个半小时内,测试版本一个小时内完成算合格)LAB TS -拓扑以及答案 2、T3(TS练习完练习这个))LAB T3 -拓扑以及答案 3、诊断TAC(考前15天以上练习)) 4、测试题(类似考试环境,必做)) 5、其他资料-HCIE-R&S-私藏学习笔记

2021-11-07

Everything-1.4.1.1009.x64.zip

Everything,适用Windows 64位系统.x64 ,双击打开→选择“安装Everything服务”,等待完成安装可以使用,使用技巧→

2021-10-23

基于Verilog和FPGA的简易LED数字时钟.7z

本资源压缩包内含整个Quartus项目工程Top_proj。本项目基于Verilog语言和Quartus II平台设计了一个FPGA简易数字钟,能实现小时、分钟和秒的计时及显示,其中,通过控制时、分和秒实现时钟计时的计数模块是本次设计的核心。计数模块的关键在于能够理解三个计时单位之间的联系,即秒计数满60产生一个向分钟的进位,分钟计数满60产生一个向小时的进位,这两个进位信号将小时、分和秒联系起来,是理解本设计的关键点。 为时钟设计一个初值设置控制信号,按下设置信号时能利用开发板上的拨码开关或按键对时间进行校对设置。https://xinso.blog.csdn.net/article/details/106558166

2021-06-04

STM32 定时器实验(定时采集按键)

STM32 定时器实验(定时采集按键)

2021-05-08

Unicode编码转UTF-8编码程序

Unicode转UTF-8

2021-05-08

基于Linux C语言编写的MQTT客户端和WebSocket客户端(物联网数据发布和订阅)

说明:工程分为两个。一个是Linux C语言编写的MQTT客户端,另一个是websocket编写的MQTT客户端,先运行Linux的,再运行websocket就出实验现象了。(发布的主要是温湿度数据、继电器控制状态、GPS定位系统等等)

2021-05-08

STM32 BH1750光照强度模块 函数库+TIMER+USART.7z

STM32 BH1750光照强度模块 函数库,附带TIMER、USART库

2021-04-19

STM32 光照强度传感器 BH1750 GY-30库函数

【STM32库函数】光照强度传感器BH1750 GY-30

2021-04-19

STM32 I/O方向寄存器语句生成器.exe 省去人工计算

STM32 I/O方向寄存器语句生成器,只需要输入GPIO端口、引脚号、I/O口方向,即可一键生成对应正确语句,省去人工计算

2021-04-19

STM32F103RC WiFi通信 ESP8266 机智云远程控制

STM32F103RC ESP8266模块 机智云 远程控制 成功实例,文件夹内有详细说明

2021-04-11

STM32单片机 DHT22温湿度数据采集 实验项目

基于STM32单片机的 DHT22模块 温湿度数据 采集的Keil工程,串口1间隔1s实时打印温湿度数据,亲测有效! 资料文章:https://blog.csdn.net/qq_42605300/article/details/115574731

2021-04-10

delay-sys-usart-代码文件【用于STM32的DHT22温湿度采集】.7z

基于STM32的DHT22温湿度采集,附件程序文件,也适用于DHT11、DHT21模块

2021-04-10

微信小程序与STM32互传案例.7z

微信小程序与STM32互传案例——LED远程控制 (MQTT+ESP8266)实现物联网远程监控。 微信小程序项目软件代码 + STM32单片机MDK工程代码。 附:项目工程均附有使用说明

2021-03-13

0-software-tool.7z

0_software_tool.7z

2021-03-05

W.P.S.10314.12012.2019.exe

W.P.S.10314.12012.2019.exe

2021-03-05

VC6.0 修正版本exe

Microsoft Visual C++ 6.0 精简修正版exe

2021-02-09

CC2540 USBDongle驱动-Cebal驱动.zip

CC2540 USBDongle驱动_Cebal驱动。使用方法:设备管理器→右键“CC2540 USBDongle”选择“更新驱动程序”→“浏览计算机本地的驱动目录”

2021-02-05

Smith Setup V4.1.0.0.7z

Smith Setup V4.1.0.0,最新版本的斯密斯圆图工具,Win32, Win64 完美兼容,安装即用

2021-02-05

JLinkARM-Keil-v5-Segger.7z

,当进行J-Link调试仿真发生JLinkARM.dll出错时,把这个文件内容覆盖Keil_v5\ARM\Segger目录就正常回来了

2021-02-05

NRF52832协议栈固件 s132-nrf52-6.0.0-softdevice.hex

NRF52832协议栈固件,s132_nrf52_6.0.0_softdevice.hex,亲测,可用

2021-02-05

NRF52840协议栈固件-softdevice.hex文件

s140_nrf52_7.2.0_softdevice.hex、s140_nrf52_6.0.0_softdevice.hex等等均可用于NRF52840开发

2021-02-05

比思电子 KGS - 25 周年庆PADS 9.5 中文有声视频电子教程.rar

PADS 9.5 实用电子教程 - PADS 9.5 实用电子教程 - 有声视频 (中文) (中文)

2021-02-05

JLink-Windows-V614b软件

JLink_Windows_V614b,很有用的J-Link调试工具,例如RTT-Viewer、JFlash等等

2021-02-05

SmartRF-Packet-Sniffer-2.18.1-&-2.18.0.zip

一个协议包监听工具,也叫抓包软件,可以通过SmartRF Packet Sniffer,使用cc2540 usb-dongle抓取包,比如Setup_SmartRF_Packet_Sniffer_2.18.1可以抓取BLE广播等等

2021-02-05

模电数电基础知识金牌面试题集绵

100道金牌面试题集绵,祝你稳稳的通过面试! 1. 一般情况下,面试官主要根据你的简历提问,所以一定要对自己负责,把简历上的东西搞明白; 2. 个别招聘针对性特别强,就招目前他们确的方向的人,这种情况下,就要投其所好,尽量介绍其所关心的东西。 3. 其实技术面试并不难,但由于很多东西都忘掉了,才觉得有些难。所以最好在面试前把该看的书看看。 4. 虽然说技术面试是实力的较量与体现,但是不可否认,由于不用面试官/公司所专领域及爱好不同,也有面试也有很大的偶然性,需要冷静对待。不能因为被拒,就否认自己或责骂公司。 5. 面试时要take it easy,对越是自己钟情的公司越要这样。

2020-10-24

串口调试助手 & 网络调试助手 一体.的嵌入式调试工具

串口调试助手与网络调试助手相结合为一体的调试工具,嵌入式必备神器。 1、串口要选择好COM口_不懂就打开'设备管理器'查看‘端口与COM口’ __其他不用改。 2、网络调试助手:根据选择开启TCP客户端连接、TCP服务器创建(服务器IP一定要是路由器分配给你的IP地址,可以到CMD命令行---敲入ipconfig查看)

2020-07-22

基于STM32的仓储环境远程监控系统.zip

硬件环境:STM32F103VET6(野火STM32指南者) 开发环境:Keil5_MDK-ARM 1、 实时监测仓储温湿度、光照强度等环境参数并将数据记录,红外检测仓储室内有无人情况。 2、 采用ESP8266模块以STA模式数据透传,通过TCP通信向贝壳物联平台上传实时数据与接收远程控制消息。 3、 TFT-LCD液晶屏显示环境参数实时数据,客户可通过小程序远程监测仓储环境情况并控制继电器模拟加热、制冷、加湿、抽湿等功能的模拟的状态。 PS:文档有使用说明readme,很详细!!!

2020-07-22

【FPGA系统-Verilog设计实验汇总】10个数字系统电路

一、4位半加法器的Verilog实现 二、138译码器的Verilog实现 三、并行输入串行输出移位寄存器 四、带异步复位和置位、上升沿触发的触发器 五、模10计数器 六、停车位剩余计数显示牌的Verilog实现 七、银行排号机系统电路 八、分频器(2的偶数分频、16分频(占空比为2:14)、5分频) 九、四选一数据选择器——testbench仿真 十、ASK调制、FSK调制(正弦波) ———————————————— 原文链接:https://blog.csdn.net/qq_42605300/article/details/105760677

2020-06-18

软件工程-最全的课后习题汇总(含参考答案与解析).zip

软件工程—课程全部习题汇总(1~13章,含参考答案与解析),答案更整洁! 软件系统分析章节-五道精选习题、形式化说明技术+总体设计+详细设计、软件测试章节(计算题)、面向对象章节(大题)、总复习(60多道题:简答题+大题)。 希望对大家的期末考试复习有帮助!

2020-06-15

eNSP实验配置.zip

eNSP实验配置,包含端到端的基础网络、AR路由器的基本配置和交换机的MAC地址学习三个小实验,fireshark抓包文件也保存在里面了;另外还有一个简单的静态路由配置实验,作为拓展实验。 PS:里面已保存有配置了,如果想自己练一下手,可以备份一份压缩文件,删除掉配置文件夹,然后就可以练习了,非常适合新手入门。 文章教程:https://blog.csdn.net/qq_42605300/article/details/104501212 https://blog.csdn.net/qq_42605300/article/details/104501907 https://blog.csdn.net/qq_42605300/article/details/104560743

2020-04-26

软件工程-软件测试章节课后精选习题集(含详细答案解析).docx

含详细答案解析,内容:软件测试、维护等 题目: 对一个包含10000条机器指令的程序进行一个月集成测试··· 请至少使用两种方法,分别计算下列所示两个流图的环形复杂度 如对一个长度为100000条指令的程序进行集成测试··· 什么是模块测试和集成测试?它们各有什么特点? 设计下列伪码程序的语句覆盖和路径覆盖测试··· 在测试一个长度为24000条指令的程序时··· 设计下列伪码程序的分支覆盖和条件组合覆盖测试··· 软件的可维护性与哪些因素有关?在软件开发过程中··· 说明模块耦合和模块内聚的类型和关系。 原文:https://blog.csdn.net/qq_42605300

2020-04-07

软件工程第二次课后习题.zip

第一题、画出下列伪码程序的流图,计算它的环形复杂度。你觉得这个程序的逻辑有什么问题吗? 第二题、 (1).假设只有SEQUENCE和DO_WHILE两种控制结构,怎么利用它们完成 IF_THEN_ELSE操作? (2). 假设只有SEQUENCE和IF_THEN_ELSE两种控制结构,怎么利用它们完成DO_WHILE操作? 第三题、 (1).为每种类型的模块内聚举一个例子。 (2).为每种类型的模块耦合举一个具体的例子。 第四题、 考虑下述的自动化图书馆流通系统: (1).试用有穷状态机说明上述的图书流通系统。 (2).试用Petri网说明第四题所述图书馆中一本书的循环过程,在规格说明中应该包括操作H、C及R。 第五题、 画出下列伪代码程序的流程图和盒图: 第六题、(1).举例说明形式化说明技术和非形式化方法的优缺点。 (2).在什么情况下应该使用形式化说明技术?使用形式化说明技术应遵守哪些规则?

2020-03-27

软件工程思考分析题答案文档+流程图文件(visio).zip

五道思考题答案集绵 1、某医院打算开发计算机患者监护系统 问题定义: 开发这个系统的可行性分析: 系统分析图 可行性分析逻辑图 2、某航空公司拟开发一个机票预定系统。 问题定义: 开发这个系统的可行性分析: 机票预定系统流程图 3、银行计算机储蓄系统 问题定义: 系统中的数据对象。(用ER图描绘) 4、复印机的行为(状态转换图描绘) 5、某银行拟开发计算机储蓄系统。 问题定义: 开发这个系统的可行性分析 数据流程图

2020-03-16

静态路由配置实验.zip

实验要求 1、配置相关的IP/路由; 2、通过静态路由实现整个网络可以使R4能访问R2; 3、要求访问到R2的主路由为R4-->R3-->R1-->R2(其中主链路为GE0/0/0,另一个等价链路GE0/0/2),备份路由为R4-->R3-->R2,即R1失效了R3就直接访问R2。 原文链接:https://blog.csdn.net/qq_42605300/article/details/104665894

2020-03-05

静态路由配置实验.zip

实验平台环境:eNSP 实验大致拓扑:4个路由器配置静态路由,让PC主机之间可以相互ping通。 实验要求: 1、如上图配置相关的IP/路由; 2、通过静态路由实现整个网络可以访问两台PC; 3、要求从PC1到PC2经过AR2转发,从PC2到PC1经过AR3转发 实验笔记参考博客传送口:https://blog.csdn.net/qq_42605300/article/details/104633083

2020-03-04

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除