自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(42)
  • 资源 (10)
  • 收藏
  • 关注

原创 gnss 并行码捕获-matlab

1 GPS捕获原理-简要首先是正交混频,剥离载波,注意本地NCO(不懂的话可以查查DDS)生成的相位步进以及相位累加器的溢出处理,没处理好会导致无法出现相关峰值,CA码不做介绍(这个看谢钢那本GPS原理和接收机设计这本书就可以了),这个没什么技术难度。然后,将CA码重采样,这里重采样为16倍码率,由于只是理论验证,且这里相关耗时并不算多,耗时最多的是正交混频和重采样。最后利用matlab的xcorr做1ms并行相关,20ms相干积分,以及寻找大于阈值的相关峰值,即可实现捕获,但由于时间较短,不能对弱信号

2021-05-10 11:38:31 7292 43

原创 利用tcl文件,快速建立PYNQ_Z2-HDMI_输出图像、文字的Block Design

软件平台 vivado2019.1web 硬件平台 PYNQ_Z2 具体操作第一步:新建一个工程第二步:添加用户的IP核(使用到的IP核见附件)第三步:Tools->Run Tcl Script....在这里选择在附件下载的tcl文件这样设计就完成了按下F6验证设计是否正确第四步:生成HDL添加约束文件set_...

2019-10-18 17:28:25 1572 3

原创 linux-ubuntu-bash切换zsh问题

如果该命令chsh没有生效,则可以直接修改配置文件。

2023-03-11 19:46:02 711 1

原创 ubuntu latex 编译报错记录

1 cls缺失sudo apt-get install texlive-publishers2 File `algorithmic.sty’ not found.sudo apt install texlive-science这里推荐一个网站[Tex community](https://tex.stackexchange.com/questions/550022/algorithm-sty-not-found-texmaker)

2022-03-07 22:29:57 323

原创 linux-ubuntu-matlab崩溃后顶栏消失-没有关闭按钮-无法移动窗口

1 崩溃后顶栏消失-没有关闭按钮如下图所示:这个问题困扰我快一年了,每次只能重装matlab,我寻思这玩意应该是启动配置加载出了问题,找了许久,找到这个解答:也就是说清除历史,清除错误的历史,然后重启matlab就可以了...

2021-12-23 20:38:01 1327 7

原创 latex 学习记录-base IEEE ITS模板

latex 环境window 10 :texlive 2021 ,这是必须的vscode , 编辑器,蛮好用的,可以安装一些插件,可以自动提示,比texstudio好用pandoc, 文档;类型转换, 比如 .tex -> docx,但是tex的照片是pdf格式则无法转换vscode 配置前面的按部就班的安装就可以了,主要是vscode的配置vscode插件,主要插件:LaTex workshop其他的按自己需求吧:然是setting.json因为只用了pdflatex所以就配置了

2021-12-13 15:53:56 764

原创 vscode-配置--全背景+顶栏去除

配置说明因为每次更新,背景照片都会丢失,需要重新配置,所以在此记录一下我的配置过程。顶栏去除默认的vscode是这样的,非常丑陋,因此需要去掉。在设置里面修改,搜索title bar ,然后将设置改为custom即可。ps: 不知道为什么,通过截图没法复制照片背景设置我使用的是background-cover插件,里面也有操作说明**重要说明:**拓展需要管理员权限运行sudo chown -R $(whoami) /usr/share/code...

2021-11-20 14:05:30 1105

原创 word页码设置一些问题

1 word-bugword 有很多小bug,今天遇到的页码设置的问题,搞了好久。2 背景前提说明在paper模板上改动。paper是要分两栏的,因为有一张结构图比较大,所以要分成一栏。这样之后,分2栏后变成单栏,在分栏变成2栏。如图所示:![在这里插入图片描述](https://img-blog.csdnimg.cn/5a245637cc874724ab4d2158cc1d44d3.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpd

2021-07-31 15:22:59 320

原创 linux-ubuntu-git 学习记录

git刚开始使用有点会不太理解,拿一份代码来试试就好了,从建立仓库、提交与修改、分支管理、版本回退等去认识和理解。vscode和clion都有对应的git插件可以很好的使用。1 git 简要命令Git 常用的是以下 6 个命令:git clone、git push、git add 、git commit、git checkout、git pullRef:菜鸟教程-git基本操作说明:workspace: 工作区staging area:暂存区/缓存区local repository:版本

2021-06-28 11:28:26 85

原创 ubuntu18.04 flameshot截图工具-无法固定窗口

按照默认安装sudo apt-get install flameshot安装的是这个版本的:Flameshot 0.5.1-1(Debian)但是他

2021-06-06 15:56:15 504 1

转载 Gtk-Message: 10:32:31.466: Failed to load module “canberra-gtk-module“

Mathworks linkMathwork Answers

2021-06-06 15:15:54 302

原创 linux-deepin-GPU-CudaFFT从入门到使用三天

GPU简介一般人眼中的GPU图形处理器(英语:graphics processing unit,缩写:GPU),又称显示核心、视觉处理器、显示芯片,是一种专门在个人电脑、工作站、游戏机和一些移动设备(如平板电脑、智能手机等)上做图像和图形相关运算工作的微处理器。-我曾经也一度的认为GPU只是针对图像处理的,直到现在,某次课提到了一下,我尝试学习了它,才发现它的处理思维逻辑有点像FPGA(Field Programmable Gate Array),只不过GPU可以进行浮点运算。GPU的主频也还是蛮

2021-05-24 09:32:09 1186 1

转载 scp-远程文件传输命令

scpLinux scp命令语法简易写法:参数说明:实例1、从本地复制到远程2、从远程复制到本地Ref:[菜鸟教程](https://www.runoob.com/linux/linux-comm-scp.html)Linux scp命令Linux 命令大全 Linux 命令大全Linux scp 命令用于 Linux 之间复制文件和目录。scp 是 secure copy 的缩写, scp 是 linux 系统下基于 ssh 登陆进行安全的远程文件拷贝命令。scp 是加密的,rcp 是不加密

2021-05-10 11:08:17 6541

原创 Matlab Classification Learner使用遇到的问题记录

1 数据输入问题数据包含特征和label数据,label也就是你的响应数据2 APP打开APP3 添加数据respone 为响应,也就是label, 比如: 1 2 3 4 5代表 5个类别,其他的数据作为特征分类方法点击下拉这里看自身吧,,我这里选择ALL KNN 一般是选择概率高的开始训练,左边为选择是否用并行,这个看电脑核心数,我的是8核心算的快一些这个是分类的结果查看结果与导出模型步骤一为查看分类情况步骤二为导出分类结果的模型注意:这里写的比较随意,不

2021-03-27 22:33:02 1561 7

转载 C++ eigen 变量声明和基本使用

1 矩阵定义#include <Eigen/Dense>Matrix<double, 3, 3> A; // Fixed rows and cols. Same as Matrix3d.Matrix<double, 3, Dynamic> B; // Fixed rows, dynamic cols.Matrix<double, Dynamic, Dynamic> C; // Full dynamic

2021-03-08 16:01:43 988

原创 linux C++ 调用Matlab-engin使用记录

Matlab-engin使用记录目录标题Matlab-engin必须安装cshMatlab-engin必须安装csh终端命令:sudo apt-get install csh

2021-01-24 15:34:24 222

原创 Deepin20 挂载硬盘

1. 建立文件夹sudo mkdir XXX2. 修改权限sudo chmod -R 777 XXX3.编辑挂载sudo dedit /etc/fstab# /dev/nvme0n1p8UUID=f3d93381-3d44-4fa2-a4aa-20318eb1a558 /XXX ext4 defaults 0 24. 实现挂载sudo mount -a5. 查看挂载情况df -h...

2020-12-27 11:18:25 2260

原创 Altium Designer 高级篇 (一) - 元器件库的建立和使用以及导入

使用AD建立自己的元器件库是很有必要的,刻意很方便的使用一些AD原本没有的元器件符号。新建Schematic Libraryctrl + s 保存并命名器件库绘画741器件图修改名字主要用到下面两个,一个是绘画样式,一个是引出端口绘画样式并添加端口,数字朝内修改端口名字结果如下ctrl + s保存放置画好的元器件方法一单击器件名,选中后,点击Place方...

2020-02-23 22:28:23 14533 1

原创 Altium Designer 详细入门教程-原理图绘画-AD2016

操作平台win10Altium Dsigner 2016建议电脑使用屏幕较大的,因为有些对话框不太友好。或者自己加拓展屏幕也行。Altium Designer 2016 百度网盘链接 :AD2016.提取码:c016配置在开始使用之前做一个配置改英文版如果你的装的时候选择了中文,那么强烈建议使用英文版,因为翻译实在僵硬。具体操作如下:->点击左上角DXP,选择...

2020-02-23 21:55:11 26338 2

原创 matlab读取TXT文件数据,二进制文件数据

1. maltab自带工具选择数据文件后可以看到如下界面可以根据实际选择分隔符,一般是空格或者逗号有些第一行还有变量名,所以一般舍去第一行等最后点击导入所选内容即可优缺点 不能打开太大的文件数据,这个跟电脑性能也有关系,100M以内都还好 可以很简单的导入数据,但是对于多个文件重复操作比较费时,2. load方式代码如下%%文件路径P...

2020-02-21 22:19:24 1982

原创 Matlab 保存窗口或者图形为fig或者tiff高清图格式

例子:h=figure(1);x=[1 2 3 4];y=[1 2 3 4];plot(x,y);savefig(h,'img'); %%保存为fig格式%%保存为高质量照片print(h,'-dtiff','-r1000','img');%%若要改为png格式则将 '-dtff'改为'-dpng'...

2020-02-21 21:51:29 6351

原创 VS2019 C++ 声明类和对象并将数据输出到多个txt文件

1. 编译环境Visual Studio 2019win102. 代码注意:(1)这里用了static 修饰,所以必须在类外声明,没声明则不能使用 static 成员变量属于类,不属于某个具体的对象,即使创建多个对象,也只为 static 成员分配一份内存,所有对象使用的都是这份内存中的数据。当某个对象修改了 static 成员,也会影响到其他对象。(2...

2020-02-21 00:30:04 1799

转载 fft_w安装-使用遇到的问题

提示error while loading shared libraries: libfftw3.so.3.5.7 cannot open shared object file: No such file or directory解决办法共享库文件安装到了/lib或/usr/lib目录下, 执行一下ldconfig命令即可参考:"error while loading shar...

2020-02-03 15:20:06 877

转载 deepin 源记录

deepin官方的源速度比较慢,有时候不稳定中科大源## Generated by deepin-installerdeb [by-hash=force] http://mirrors.ustc.edu.cn/deepin panda main contrib non-free#deb-src http://mirrors.ustc.edu.cn/deepin panda main c...

2020-02-02 11:57:20 596

原创 deepin 安装过程记录

deepin 是中国开发的一个linux系统,理论上说,不像ubantu更新或者下载一些东西需要翻墙deepin用起来感觉要比ubantu的界面要美观很多,像windows下的QQ TIM 可以通过应用商店直接安装操作起来,和windows非常相似为了记录一下安装要注意的点1. 镜像直接去deepin官网下载桌面版即可2. 制作启动盘,U盘安装使用rufus制作...

2020-01-30 22:31:36 655

原创 字模提取教程

特别提示:该教程的所有操作都是在WIN10系统下实现的仅供学习使用1. 汉字字模提取 获得“武汉大学”字体字模数据。 使用字模提取软件zimo221。1.1 打开字模提取软件按照下图方式配置,并点击确定。点击文字输入区字体选择,修改字体样式。修改为如下字体(建议选择比较规整的,否则显示不正常),点击确定。1.3 汉字字模提取...

2019-12-19 12:59:44 22826 9

原创 Pynq_Z2-hdmi输出图像、文字流程(VDMA)

软件平台 vivado2019.1web硬件平台PYNQ_Z2具体操作流程3.1 新建一个工程create BD如果不想手动连线请转到此处:add zynq - IPrun block automation配置PYNQ参数,使能HP0口,用于VDMA快速读取DDR3配置时钟,FCLK_CLK0配置为100Mhz,FCLK_CLK1配置为142MHz,这个时钟用于...

2019-10-16 15:10:58 5276 6

原创 Pynq_Z2利用AXI_Lite从PS端读取PL端的数据

1. 软件平台vivado2019.12. 硬件平台PYNQ_Z23. 具体实现流程能需要做这一步,证明对vivado和IP核的自定义已经比较熟悉,如果没有可以看这。自定义IP核流程操作蛮挺简单的 ,但是我一开始也踏了好多坑。首先,编辑一个数据生成器。(第一次操作建议使用一个固定的值,比如:reg [15:0]data_out = 4095;这样可以避免很多问题)...

2019-10-11 17:27:39 2488 4

转载 pynq_z2-HDMI输出图像

适用于2018和2019vivado,需要对VIVADO有一定的了解才可以看懂和操作成功PYNQ_Z2-HDMI-输出教程.....后面有空再具体分析

2019-10-05 17:30:39 3317

原创 pynq_z2自定义IP核-双通道、同相、任意频率和占空比的pwm发生器

1. 软件平台vivado2019.12. 硬件平台PYNQ_Z23. 目的旨在利用PS端来控制PWM波的频率占空比以及启动和关闭。在这里不做太复杂的功能。4. 自定义IP核如果是刚接触vivado,可以参考vivado-PYNQ_Z2-SDK入门教程如果没有自定义IP核的经验,可以事先查看博客自定义IP核详细流程两路pwm波,这里设置用两个16位数据...

2019-10-05 16:50:31 1647 1

原创 PYNQ_Z2自定义IP核

1. 软件平台vivado2019.12. 硬件平台PYNQ_Z23. 具体实现流程首先先建立一个vivado工程如下(参考https://blog.csdn.net/qq_42263796/article/details/101828046)点击Tools,选择create and pakage new IP,再点击Next按下图方式选择,点击Next,...

2019-10-02 18:10:12 3608

原创 FPGA-verilog-写数字钟

1. 硬件平台 Cyclone IV 和6个数码管2. top levelmodule num_show( input clk,rst, output wire oclk, output [7:0]num_out, output [7:0]n_oled, output [5:0]Cs_out, output wire clk_1M); wire CLK...

2019-10-01 17:57:29 1116

原创 PYNQ_Z2从vivado到SDK的PS到PL点灯以及固化流程

1. vivado的安装 不得不说赛灵思vivado安装比较费时,有时候还装不上。比较好的解决办法是找一台网卡比较好的电脑下载安装包。我这里安装的是web design 2019.1。 安装直接去赛灵思官网下载就行。2. PYNQ_Z2 board file 使用pynq_z2,我们首先要从官网下载boardfile。 https://d2m32eur...

2019-10-01 09:42:35 5101 6

原创 verilog写流水灯

代码如下:module first_led( input clk,rst, output wire out_clk, output reg [7:0]oled);reg [24:0]count;parameter data =25'd2000000;assign out_clk = clk;always @(posedge clk or negedge rst)beg...

2019-09-24 16:23:23 3890 2

原创 LCD屏幕-LCD12864屏幕调试的一些问题

LCD屏幕RST口不可以接到控制器的RST口,因为这回造成LCD屏幕复位不正常,从而可能导致显示没有内容,所以RST用软件复位。 注意购买屏幕的电平,5V的供3.3V可能导致 屏幕工作不稳定,只需要将LM1117输入输出短路即可。...

2019-06-28 10:03:52 3551

原创 TM4C IQmath 使用教程+FPU介绍

TM4C IQmath 使用教程介绍Texas Instruments®Tiva™IQmath Library是一个高度优化和高精度的集合,数学函数为C/ C++程序员无缝地将浮点算法移植到Tiva设备上的定点代码。这些例程通常用于计算密集型实时应用,其中最优的执行速度和高精度是至关重要的。通过使用IQmath库,它有可能实现比同等代码快得多的执行速度。IQmath使用起来并没有想象...

2019-06-25 20:13:46 6665

原创 Tm4c123GX(tiva)入门详细教程

TM4C123GX系列 学了几个月的Tiva,总的来说这个款单片机功能还是比较强大的。下面我将以TM4C123GH6PM为例介绍其基本资源及工程建立,点亮板卡上的LED灯以及对基本的时钟配置、PWM、uart、Timer等方面来做简要说明。 注:编译器默认开启FPU功能源码链接 https://download.csdn.net/download...

2019-06-24 16:34:19 18548 7

原创 spectrogram-短时傅里叶变换

function[STFT_X,STFT_t,STFT_f]=myspectrogram(S,windows,nov,nfft,Fs)tic,%% S为输入语音片段,window为加的窗,nov为重叠点数,nff为采样点数fft,Fs为采样频率L=length(S);nsc=length(windows);%窗长coln = fix((L-nov)/(nsc-nov));%分成片段数,列...

2019-03-14 17:58:30 1661 1

转载 office2016兼容mathtype问题

转载mathtype官网如何解决MathType兼容Office 2016发布时间:2015-11-05现在MathType的用户越来越多,使用它可以很方便地编辑公式,但是有朋友发现MathType与Office 2016不能够很好好兼容,那么怎样能够使MathType兼容Office 2016呢?可用于Office 2013&amp;2016的是Mathtype 6.9简体中文版...

2018-09-15 22:22:33 564

转载 QLV视频格式转换

转自:https://jingyan.baidu.com/article/6181c3e0bc3175152ef153cf.html

2018-08-09 22:44:12 544

等精度测频V2.zip

实现等精度测频率,采用50MHz的参考时钟,包含testbench文件,可在vivado,quartus仿真,实测范围可在1~100MHz。其他频率范围没有测试,理论上可以全频带。低频周期低于门闸周期时候,采用测周法,并同步信号,避免了等精度门闸时间长度的限制无法测量超低频率的问题。

2020-04-14

vivado利用Tcl快速建立hdmi_vdma BlockDesign

包含快速建立hdmi_vdma工程的tcl,建立工程用得到的IP核,时序约束文件,输出显示文字的库函数,SDK源码,适用于PYNQ_Z2,建议尽量使用2019.1版本的vivado

2019-10-18

pynq_z2-hdmi_vdm-实验源码以及库函数

资源主要包含hdmi_vdma实验的源码,以及显示文字的库函数,还有照片的RGB数据

2019-10-16

ip_vdma.zip

主要是video_vdma用到的IP核,包含动态时钟控制器,添加HDMI编码器

2019-10-16

Tiva入门教程代码.zip

主要包含Tiva基本的操作,包括定时器,pwm波的产生,uart的初始化,以及基本的时钟配置

2019-06-24

采用matlab基于RS232和uart的FLUKE-45与MCU同步采样数据工具

利用matlabGUI设计制作的GUI,可实现FLUKE万用表与单片机MCU之间的同步数据采样,并利用matlab自带工具cftool进行拟合,经测试,具有一定的数据排错能力,存在一些可以容忍的bug,同步采样速率与MCU性能有关。MCU波特率默认为115200,FLUKE为9600

2019-05-06

tm4c_123G_Timer

采用Tiva()tm4c123G,编写了一个定时器中断,并通过LED显示结果,比较简单实用,

2019-03-27

Tm4s123G_button

采用单片机Tm4c123G,编写的一个按键,包含一个简单的button方式,

2019-03-27

Pynq_Z1_Z2(zynq7000系列)入门资料

pynq_z1,z2入门教程,,zynq7000系列通用入门,led点灯,包括如何建立一个vivado工程,PS-PL的入门操作,

2018-10-30

MSP430F6638数字钟系统源代码

本系统以MSP430f6638口袋实验板(以下简称单片机)作为数据处理和控制的核心,辅以UIBOARD进行操作和点阵液晶显示时间,实现数字钟。使用单片机的XT2CLK高频时钟源可以提供4MHZ~32MHZ的震荡频率,经过两次8分频得到频率较低的时钟信号;采用定时器定时器 Timer_A和Timer_B,Timer_B使用增计数模式实现1S计时,同时采用定时器 Timer_A快速扫描按键,实现计时、修改时间和日期、设定闹钟等功能。利用蔡勒公式准确计算星期,简化算法流程。使用单片机上的温度传感器以及数模转换器ADC12获得周围环境温度。本数字钟系统人机交互友好,操作比较简单,界面简洁,功能多样。

2018-07-31

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除