自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(46)
  • 收藏
  • 关注

原创 芯片工艺库中的ffg、ssg、ttg和HVT、LVT、SVT(RVT)

ffg ssg ttg HVT LVT SVT

2022-09-21 20:19:25 11454 3

原创 浮点型数据规范以及规格化与非规格化数据(更新:原文疑问已解)

最近需要在硬件中处理一些浮点型数据,所以就花了一上午时间重新温习了一下浮点型数据的一些规范和标准,又有很多新收获,将他们整理出来。为了容易理解和对比,以下整理分别列出单精度浮点和双精度浮点的对应情况。1)定义:单精度浮点数为32位,符号1位,阶码8位,尾数23位。如下符号位 阶码 尾数 1 bit 8 bit 23 bit 双精度浮点数为64位,符号1位, 阶码 11位,尾数52位。如下符号位 阶码 尾数 1 bit 11 bi.

2022-04-15 15:54:44 6174 4

原创 C语言(C++)打开有规律名称的多个文件,并写入数据

C语言(C++)读取有规律命名的文件,并写入数据

2022-03-30 14:27:44 1944 1

原创 vc707(virtex7)FLASH下载实验

vc707 板载了一颗并口的FLASH芯片,能够通过BPI 接口完成配置文件的下载。 Xilinx的FPGA内部并没有设计(预留)专用的电路去实现JTAG到Flash的转换,在我们通过JTAG烧写Flash时,电脑其实是先要预下载一个bit文件到FPGA的,就是这个临时bit文件起到了一个桥接的功能。所以,整个编程flash的过程是分成了2个独立的步骤:1. 预下载一个bit文件到目标FPGA,实现JTAG到Flash的桥接通路2. 然后,通过jtag传输要烧写的文件数据到flash X..

2022-03-10 10:10:45 736 1

原创 关于 IEEE PDF Checker无法嵌入字体等问题(Font ArialMT is not embedded) 已解决

来来来,我又来分享实用的干货了。在提交期刊或会议paper的PDF版本时,一般会进行PDF check,经常因为字体无法嵌入问题而 Fail,如下:Font ArialMT, TimesNewRomanPS-BoldItalicMT, TimesNewRomanPS-BoldMT, TimesNewRomanPS-ItalicMT, TimesNewRomanPSMT is not embedded.主要是因为word转PDF时,我们内部没有对应的字体。每次转成PDF以后,其实可以通过A

2022-03-09 11:19:29 4514 2

原创 SLAM14讲 各种安装、调试、执行问题记录(持续更新)

SLAM14讲 各种安装、调试、执行问题记录(持续更新)

2022-02-26 09:50:29 5428

原创 芯片(架构)顶会截稿时间和开会时间记录(ISSCC、VLSI、ISCA、HPCA、MICRO、DAC等)

ISSCC(International Solid-State Circuits Conference)截稿时间9月初 会议时间2月份VLSI( Symposia on VLSI Technology and Circuits )截稿时间2月份 会议时间6月份ISCA( International Symposium on Computer Architecture )截稿时间11月份 会议时间6月份HPCA( International Symposium on High...

2021-08-15 22:06:34 4048

原创 VSLAM 硬件(FPGA、ASIC)架构设计论文汇总(一直更新)

为了便于大家可以快速查阅与VSLAM(Visual SLAM)硬件架构设计相关的最新论文,特整理到此博客中,会一直更新,包含JSSC期刊、ISSCC、VLSI、ISCA、HPCA、MICRO、DAC、FPGA、FCCM、FPL、PFT等会议2021:JSSC:A 1.5- J/Task Path-Planning Processor for 2-D/3-D Autonomous Navigation of Microrobots(Chieh Chung,NTU) NeuroSLAM: A ..

2021-08-15 20:47:48 971

原创 verilog中带符号数据的赋值问题(记录)

记录今日新发现,关于带符号数据的赋值问题。在组合逻辑中,等号两端数据type类型要相同,即同为signed型或unsigned型在时序电路中,等号两端数据type类型可以不同,signed或unsigned都可以wire [1:0] a,b;wire signed [1:0] c,d;assign a=b; //合法assign c=d; //合法assign c=a; //非法assign a=c; //非法reg signed [1:0] e,f...

2021-04-12 21:29:51 2447

转载 C++中头文件的引用

C++引用头文件 <> 和 “” 的区别1. 引用区别 <> 是从标准库中引用文件 "" 当我们自己创建的工程中的文件没有加入到标准库,用<> 是无法找到文件的,所以需要使用 "" 来引用1 22. 搜索的范围和先后顺序不一样 <> 依次是 搜索系统目录、 PATH 环境变量所指目录 "" 依次是 搜索当前文件夹、 系统目录、 PATH 环境变量所指目录1 2总结当引用标准库中的文件时, 用 "" 引用和 &l

2021-01-26 16:25:50 2129

原创 linux中g++编译文件后,输出指定文件名

一个C++文件,例如 helloSLAM.cpp通过g++编译,$ g++ helloSLAM.cpp此时,会默认得到一个可执行文件 a.out那么如果我们想要一个特定文件名的可执行文件怎么办呢? 需要 “-o”这个参数,如下:$ g++ -o helloSLAM.exehelloSLAM.cppok,我们得到了helloSLAM.exe 这个可执行文件了...

2021-01-26 14:05:33 3373

原创 解决Ubuntu中Unable to locate package xrt_202010.2.7.766_18.04-amd64-xrt.deb 终极秘诀(留下泪水)

在Ubuntu中简单安装一个deb文件,竟然折腾了一个晚上,始终无法解决,报错如下:E: Unable to locate package xrt_202010.2.7.766_18.04-amd64-xrt.debE: Couldn’t find any package by glob ‘xrt_202010.2.7.766_18.04-amd64-xrt.deb’E: Couldn’t find any package by regex ‘xrt_202010.2.7.766_18.04-amd6

2020-12-21 09:50:19 489

原创 linux命令:写字符串到某个文件末尾,echo命令使用

>echo 123即直接打印123,echo “123”,与上面用法相同,即加“”与不加“”等同效果>echo 123 >> "某个path"/my_file直接将123写到“某个path”路径下的my_file文件的末尾,如果没有这个文件,则新创建一个文件最常用的是 echo “PATH=/home/usr/bin” >> ~/.bachrc,直接进行配置环境变量非常方便...

2020-11-26 21:23:28 1695

原创 关于python安装第三方库速度慢解决方案(opencv为例)

转载安装时使用国内镜像链接阿里云http://mirrors.aliyun.com/pypi/simple/中国科技大学https://pypi.mirrors.ustc.edu.cn/simple/豆瓣(douban)http://pypi.douban.com/simple/清华大学https://pypi.tuna.tsinghua.edu.cn/simple/中国科学技术大学http://pypi.mirrors.ustc.edu.cn/simple/使用指令指...

2020-11-26 13:16:11 331

原创 tensorflow_gpu版本下载速度慢(已解决,包含多个镜像链接)

好久没搞算法了,最近,给电脑装了一个GPU,2080Ti,看着这么好的配置,不跑几个网络,感觉对不起她!之前装过tensorflow,但不是gpu版本,而且已经是两年前了所以就来一套tensorflow_gpu(1.14.0)版本+cuda(10.0)+cudnn(7.4)组合拳,cuda、cudnn下载安装都挺快的奈何tensorflow下载的跟蜗牛一样!还经常time out error!!!经过一番冲浪,找到了一条高速通路,通过镜像资源下载!具体命令如下:pi...

2020-11-05 10:15:53 1867 3

转载 同步复位和异步复位二者各自的优缺点(好文)

原文出处同步复位:当时钟上升沿检测到复位信号,执行复位操作(有效的时钟沿是前提)。always @ ( posedge clk );优点:a、有利于仿真器的仿真;b、可以使所设计的系统成为 100% 的同步时序电路,有利于时序分析,而且可综合出较高的 Fmax;c、由于只在时钟有效电平到来时才有效,所以可以滤除高于时钟频率的复位毛刺。缺点:a、复位信号的有效时长...

2019-09-20 21:24:45 5329 2

原创 Verilog中关于integer数据类型的惊天发现

先说结果:在verilog中,integer型无不定态。在verilog中,integer型无不定态。在verilog中,integer型无不定态。今天上午仿真,遇到了这样的一个问题, 定义一个integer变量 dat,其中有这样一句判断if( dat === {32{1'bx}] ) ........前面几句是这样的,reg [31:0] sig;ass...

2019-09-20 11:15:37 12138 5

原创 彻底了解时序电路、组合电路、触发器、锁存器的区别

最近,辅助师兄们做一些数字IC岗位的笔试,发现一些基础的问题,还是有必要好好整理一下的。先给出一个结论,触发器和锁存器都属于时序逻辑电路。时序电路:带有存储记忆功能的电路(输出不仅和当前的输入有关,还跟上一个状态有关)组合电路:不带记忆功能的电路,即输出随着输入变化而变化,没有保持和记忆的功能。肯定有人问:1、触发器的输出不是只跟当前的输入有关吗,因为输出的值就是你...

2019-08-07 15:47:54 5654

原创 量子物理史话(上帝掷骰子吗)----读书笔记(一)

薛定谔的猫,这个实验是量子物理中一个著名的实验。把一只猫和一个放射性粒子放入一个黑匣子中,当你再一次打开黑匣子的时候,猫可能死也可能活,这个状态是你在打开黑匣子的瞬间发生的。 因为放射性粒子在这个过程中有50%的可能发生放射性变化→猫死,50%不发生变化→猫活。但在你没打开这个箱子的时候,粒子的变化与否 是同时共存的,以概率波函数的形式存在,即此时的猫也是生死两个状态共存的,在你打...

2019-06-09 13:41:29 2492

原创 Linux安装依赖包时,无法定位软件包 libcholmod-dev问题(已解决)

对于所有的安装依赖时,“无法定位软件包......"问题, 原因是因为 你要找个找的包和你的linux版本不匹配。。。。找到两种解决办法。1、去这里 搜索对应匹配版本的包 输入你要找的包的关键字(前面几个字符)即可,就会找到相应linux版本的软件版具体型号,再终端重新install 即可。2、这个办法非常简洁,就是在你输入依赖包的名称时,不完全输入,输入前面几个字符就好,...

2019-04-13 21:28:28 9055 1

原创 (C语言实现)02-线性结构3 Reversing Linked List (测试点全通过)

卡了两天,终于搞定了。。。自己用C写的反转子链表函数。。。先上个图题目应该都理解了,就不解释了。。说一下,“有多余节点不在链表上”, 后来一直卡在这个点上。。。。这个样例是指,给定的N个节点中,可能有很多是不在单链表中的(地址被孤立的),是不需要输出的。。因为我的反转函数是通过N 和 k(每k个节点反转一下) 来控制的,所以,反转的循环次数会收到多余节点个数的影响。...

2019-03-20 15:10:44 4271 11

原创 解决 sudo apt-get install g++ 无依赖问题

折腾了一下午,终于看到了靠谱的解决办法。。。。。。apt-get 需要更新一下。。。。。尴尬 sudo apt-get update然后sudo apt-get install g++ 完成...

2019-03-17 16:55:01 3612

原创 排序算法总结附代码(冒泡、选择、插入、希尔、归并、快排)

最近,看数据结构,系统的学了一遍各种排序算法,抽点空总结出来。堆排序和基数排序,后面再总结一下。。。。 冒泡排序:  从前向后扫描,依次比较相邻两个元素,若前者大,则交换位置。第一趟下来,最大的元素必在最后的位置。                 (本质问题:有多少个逆序对,就需要交换多少次,每次只能消除一个逆序对)        特点:最坏情况 O(N^2),优点是可以对...

2019-02-25 22:36:35 184

原创 verilog捕获上升沿、下降沿(切记初始化问题)

在捕获上升沿的时候栽个小跟头,搞了好一会,才发现问题。。。。。捕获上升沿的时候,切记要初始化位1,仔细想一下你就会明白。。(捕获下降沿时则初始化为0) 捕获上升沿:input signal;output P_signal;reg signal_delay; always@(posedge clk)if(rst) signal_delay &lt;= 1'...

2019-02-23 14:33:07 6211 5

原创 vivado中cordic IP 6.0 arctan 的使用

有个项目需要用到arccos,然而,cordic中只有arctan,所以只能将就用一下了。哈哈之前,有写过cordic中sin/cos 的使用。cordic IP 6.0 sin/cos 的使用  所以,也算对这个ip核比较熟悉了,这里只想记录一下  在使用arctan时,一些相关配置和输入输出的位数问题。如下图: 基本就需要注意一下这5个位置:1,选择arctan模式。2...

2019-01-23 20:43:09 4775 9

原创 C语言中,对于未知输入数组size的处理,输入数据同时,并扩充数组的size

期末考完事,正好有时间系统的过了一遍C语言基础(小菜一枚)在慕课上,刷了一遍浙大翁恺的课程(对于c基础差的,极力推荐,讲的非常棒),主要是想再深入学习下指针、链表的知识。本次学习,最大的收获莫过于,掌握了如何灵活的使用数组。我们都知道,在c中,其实数组本质上也是指针的一种形式,一旦定义以后,数组的长度就确定了,不能改变。对于输入长度未知的一连串数据,想要把它用数组记录下来,还是有点...

2019-01-17 21:05:46 1703

原创 vertix7 时钟频率配置(verilog源代码)

接这篇文章,vertix7 时钟频率配置(VC707 USER_CLOCK_P与USER_CLOCK_N 这两路差分输入时钟频率配置 )(SI570芯片配置),把源代码附上!!!仅供参考!一个top.v  一个configuration.v  ,两个.v文件`timescale 1ns / 1ps/////////////////////////////////////////////...

2019-01-11 13:24:20 3216 3

原创 vertix7 时钟频率配置(VC707 USER_CLOCK_P与USER_CLOCK_N 这两路差分输入时钟频率配置 )(SI570芯片配置)

USER_CLOCK_P和USER_CLOCK_N 是vertix 7评估板上频率可配置的差分输入时钟。 On power-up the user clock defaults to an output frequency of 156.250 MHz.User applications can change the output frequency within the range of 1...

2018-09-25 23:27:42 4163 6

原创 进行vivado开发时,Generate Bitstream报错[DRC NSTD-1],详细解决步骤

原答案来自原答案报错如下[Drc 23-20] Rule violation (NSTD-1) Unspecified I/O Standard - 4 out of 142 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This...

2018-09-17 23:38:15 38463 24

原创 FPGA一路时钟源产生差分时钟(vivado)

xilinx原语位OBUFDS,用法如下:OBUFDS instance_name (                       .O (clk_output_n),                      .OB (clk_output_p),                      .I (clk_input)                          )...

2018-09-16 10:37:09 11664 16

转载 在腾讯的八年,我的职业思考

一、今年是2018年,腾讯20周年。我30周岁,刚好在腾讯工作满8年。我从来没有想过自己会在同一家公司工作8年。因为4年足以读完大学,6年能让小孩读完小学,8年漫长得不可思议。2010年,我刚大学毕业,加入腾讯。那一天,学生思维的我,不免以学生的尺度定计划:三年的时间,我应该足够从这一所“社会大学”毕业吧。因此,我追赶时间,以这个截止日为目标,第一年学习高效地完成工作,第二年学习带...

2018-08-11 22:07:20 227 2

转载 贝叶斯公式的直观理解(先验概率/后验概率)

前言  以前在许学习贝叶斯方法的时候一直不得要领,什么先验概率,什么后验概率,完全是跟想象脱节的东西,今天在听喜马拉雅的音频的时候突然领悟到,贝叶斯老人家当时想到这么一种理论前提可能也是基于一种人的直觉.先验概率:是指根据以往经验和分析得到的概率.[1]  意思是说我们人有一个常识,比如骰子,我们都知道概率是1/6,而且无数次重复实验也表明是这个数,这是一种我们人的常识,也是我们在...

2018-08-03 10:03:54 279

原创 Matlab中del2()函数学习笔记,边缘点的处理详细步骤(通过分析底层函数)

        最近卡在离散拉普拉斯算子del2这个函数上了,在网上查了好久,关于del2函数边缘点的处理公式都不对(通过与del2函数结果验证的),因为自己要用硬件加速算法,碰巧有拉帕拉斯算子,所以必须要知道每个点的具体运算。。。。           死磕了一个晚上,把del2函数的底层代码详细琢磨了一遍,终于搞定了。。。            矩阵中间点的计算公式,很容易,就是周边4个点的算...

2018-06-11 23:33:30 4220 11

转载 收藏面经

博士华为大疆面试

2018-06-09 21:07:11 210

原创 vivado中cordic IP 6.0 关于sin/cos输入输出位数问题

cordic原理请移步cordic算法详解,我觉着说的非常详细了。cordicIP6.0具体操作请移步cordic6.0使用我想说一下,关于这个IP核的输入输出的位数分配问题,这里只说sin/cos这个模式。因为在之前的4.0中,输出是有两个X_out和Y_out的,现在的6.0只有一个data_out了,相当于把两个sin和cos输出拼接到了一起。ip核的配置界面:1.输入位数随意设置,因为只有...

2018-05-26 11:08:44 7000

转载 FPGA小数计算(转)

谓定点小数,就是小数点的位置是固定的。我们是要用整数来表示定点小数,由于小数点的位置是固定的,所以就没有必要储存它(如果储存了小数点的位置,那就是浮点数了)。既然没有储存小数点的位置,那么计算机当然就不知道小数点的位置,所以这个小数点的位置是我们写程序的人自己需要牢记的。 先以10进制为例。如果我们能够计算12+34=46的话,当然也就能够计算1.2+3.4 或者 0.12+0.34了。所以定点小...

2018-05-24 15:23:51 4780

原创 verilog中,逻辑右移&gt;&gt;、算数右移&gt;&gt;&gt;、以及无符号右移、有符号右移,仿真对比图(右移结果还跟被赋值的位数有关)

(好多资料都是说&gt;&gt;&gt;是逻辑右移,&gt;&gt;是算数右移,但我的仿真结果却不是这样,,,有不对的地方还请大佬指出)1、无符号逻辑右移&gt;&gt;,右移1位,等价于除以22、有符号逻辑右移&gt;&gt;仿真结果跟之前的无区别,但是,我把被赋值位数改变,就会有区别了(不知道为什么)3、将2中的c、d变成6bit看到不同了吧。此时b=10000,带符号右移移位,变成11000...

2018-05-23 16:33:20 28717 11

原创 拉帕拉斯变换--a good lesson

MIT 课程 拉普拉斯变换

2018-04-25 22:42:18 185

转载 求解微分方程的边界条件(初值条件和Neumann条件)

在有限元仿真运算时,经常碰到的是对PDE方程的求解,常用的仿真软件如COMSOL、ANSYS、ABAQUS的均是对PDE方程的工具。要使求解PDE方程有确定的解,就需要引入一定的条件——定解条件,有时也被称为单值性条件。为了确定方程的解,就必须提供足够的初始条件和边界条件。    (1)初值条件如果方程要求未知量y(x)及其导数y'(x)在自变量的同一点x=x0取给定的值,即y(x0)=y0,y'...

2018-04-24 12:29:24 24131

原创 关于win10系统下tensorflow gpu版的安装问题(好像是报错无法找到cuda.dll文件)----显卡驱动没有安装

再来把前两天关于tensorflow gpu的安装遇到的小问题,记录一下。避免采坑。具体的安装步骤就不说了,博客很多!我是装的cuda8,cuDNN6的。。按照步骤一步步下来,按理说应该没问题。。结果还是报错无法找到cuda.dll文件(记得是这样)又折腾了好几遍,还是这样。。。最终解决,发现前几天重装系统之后,显卡驱动没有安装。显卡驱动没有安装。显卡驱动没有安装。真是醉了。显卡驱动装好后,一切顺...

2018-04-19 15:18:06 1867

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除