自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

文鸿开源工作室

向世界分享我的知识

  • 博客(133)
  • 资源 (7)
  • 收藏
  • 关注

原创 ubuntu深度学习环境的搭建(ubuntu18.04的安装教程 & GPU驱动安装 & CUDA安装 & CUDNN安装)

1.1 制作ubuntu系统安装盘1.1.1 下载ubuntu18.04的镜像链接:https://pan.baidu.com/s/1kK9OD1dYyleq1BGBiMXpvA 提取码:66661.1.2 准备一个8G以上的U盘,把系统烧录进去烧录软件我使用的是rufus官方下载地址:rufus官网使用教程:在 Windows 中制作 Ubuntu 系统的USB启动盘1.2 U盘启动安装ubuntu系统不同机器进入bios的按键和切换启动项的按键不同,一般是F2,F11,DEL其中一个进

2020-10-21 08:24:40 2036

原创 优秀公众号推荐 & STM32与FPGA资料整理 & windows小工具推荐

文章目录学习公众号推荐(无广告,良心推荐)1.工具类1.网罗灯下黑2.平凡而诗意(这个老哥应该是弄深度学习的,整理的资料挺不错的,下面也还会推荐一次~)3.Topbook2.硬件类1.吴川斌的博客 (平时有分享很多电子书,以及一些资讯,分享Allegro的知识)2.路飞的电子设计宝藏(非常牛逼的一个博主,好像是兰州大学的硕士,强烈推荐~向他学习)3.FPGA1.硅农(文章质量都不错)2.开源骚客(...

2019-07-11 19:09:07 2082

原创 Spartan-6 FPGA 如何使用ISE下载程序

 一般FPGA都是基于SRAM技术的,SRAM的数据是掉电丢失的。所以我们如果要每次上电都让 FPGA 运行一个程序,那么就需要一块Flash,将程序放到flash中,上电的时候,再将程序bit流加载到FPGA中。但是每次都这样做会消耗大量的时间,因此在调试的时候,我们一般都是使用JTAG下载数据。接下来我们来学习一下这两种下载方式。先说两个小知识点:1.在下载的时候要先看看你的下载器...

2019-01-14 20:51:40 8153 4

原创 vcs调试时,Terminal终端显示彩色字符

本文讲述终端如何实现彩色字符打印

2023-04-10 10:23:35 333 1

原创 vivado 建立多个仿真环境,active选择激活不同的仿真环境

本文介绍如何创建不同的仿真set

2023-03-29 11:30:56 865

原创 如何使用Synplify综合vivado带IP核的工程

本文讲述如何使用synplify来综合带vivado ip核的工程

2023-03-21 21:20:30 3261 1

原创 什么是推挽输出,开漏输出?

B站工科男孙老师关于开漏和推挽的笔记

2023-03-18 13:41:31 3663

原创 用Vscode编辑verilog代码配置

vscode编辑verilog的配置与插件安装

2022-07-09 09:17:00 16612 6

原创 IC基础知识:时钟无毛刺切换

本文时钟无毛刺切换的代码和testbench

2022-06-25 10:20:35 1489 2

原创 Linux 下gvim无法识别systemverilog语法

linux下较早的gvim无法识别systemverilog语法的解决方法

2022-06-23 08:40:37 909

原创 FPGA调试技巧:将仿真图像数据输出到TXT或者输出成为BMP文件

FPGA调试图像处理技巧,将FPGA中的图像数据输出到TXT文件中,并且将其显示。

2022-03-24 21:26:54 4031 3

原创 verilog 组合逻辑的一些知识点,verilog赋值,判断语句更好的写法

verilog 语法问题

2022-03-22 18:48:14 1430

原创 windows弹窗显示应用程序0xc0000005错误解决方法

windows 报 0xc0000005错误解决方法

2022-03-22 07:47:39 4607

原创 生成COE文件注意事项

使用bmp2mif软件生成coe文件,生成的coe文件出错。

2022-03-20 19:50:25 415

原创 汕头大学复试准备

汕头大学考研复试准备

2022-02-20 13:18:49 1250 4

原创 AD2016 交互式网表 InteractiveHtmlBomForAD插件安装教程

AD2016使用interactiveHtmlBomForAD 插件生成交互式网表

2022-01-03 11:36:45 2564 1

原创 vivado ps开发FPGA中generate output product 和 create HDL Warpper的作用

我们用block design的方式ZYNQ FPGA时,会有一个bd文件,而我们vivado在编译的时候编译的是.v文件,因此软件还需要将bd转换成可综合的verilog文件。generate output product用于生成bd下一层的顶层(里面包含了你调用的所有核)create HDL warpper用于生成bd上一层的顶层(让这个bd可综合)...

2021-12-17 14:02:21 7828 9

原创 FPGA存储块,有没有使能Primitives output Register作用

在FPGA中,ROM,RAM存储块在IP核配置中都有一个配置选项:Primitives output Register,比如下图的rom IP核配置界面接下来以RAM读写为例,我这里RAM的第一个数值为1,我们观察第一个数值的位置即可看出这个reg的作用,我这里是always Enable配置:没有勾选Primitives output Register的情况,当我们给了ADDR后,1个时钟周期后,RAM存储块能够读出数值。勾选Primitives output Register的情况,当.

2021-12-14 14:58:26 3252 2

原创 vivado无法打开vitis, 卡在loading org.eclipse.e4.ui.css.swt界面,软件无响应

loading org.eclipse.e4我解决这个问题解决了两天,网上的资料都不行,删文件什么的,都不行。倒腾了很久,最后也不知道怎么突然就好了,记录解决问题的思路:思路vitis出现的问题都可以去看eclipse, 因为vitis是基于eclipse的,直接搜索vitis是很少资料的,所以就可以转到去找eclipse解决方法。可以尝试直接去打开vivado安装目录下eclipse文件夹的eclipse.exe文件,应该是无法打开的状态。所以主要就是解决这个eclipse.exe。根据网上资

2021-12-09 15:15:17 1895

原创 STM32CubeIDE/STM32CubeMX(HAL库) 编写STM32代码与标准库的不同

使用STM32CubeIDE/STM32CubeMX 生成STM32代码,生成完的外设代码一般需要加上使能函数,如果有使用中断,则需要使能中断。这里是使用CubeIDE和MX需要注意的点。使能函数可以在工程下STM32F4XX_HAL_Driver的inc下的头文件中查找到标准库与HAL库中断服务函数的不同标准库:只有启动文件中的中断服务函数;HAL库:有启动文件中的中断服务函数, 在这个普通中断服务函数中有HAL中断服务函数与callback回调函数;两者的区别是: HAL的..

2021-11-29 22:11:02 2383

原创 win10安装vivado + vitis 2019.2 教程

win10安装vivado + vitis 2019.2 教程安装包:链接:https://pan.baidu.com/s/1fPlNDzpC0EPXMhOloDyzfA 提取码:1234网上其他博主的安装教程,比如:vivado2019.2的安装,最后是没有安装上vitis PS端开发软件。本篇教程最后是由vitis+vivado安装步骤:1.双击安装程序.exe,有个弹窗选项,选择continue.这个界面全部勾上2.这里选项很重要!!选择vitis,我查了下,你选择vitis相当于选择了

2021-08-03 16:55:35 11890 23

原创 AU声音处理参数

参考:1.让你视频的声音更好听②[EQ均衡器]女声甜美动人男声低沉性感如何让你视频的人声更好听|fcpx音频调整小技巧男声使用右下角的LP,低通滤波器(通过低频,衰弱高频)因为男生一般是提高中低音,提高声音的磁性。多频段压缩器女声使用左下角的HP(高通滤波器,通高频,阻低频),因为女生低频段没有什么声音。提高中频和高频段的声音。这个参数不是固定是,打开播放声音,然后查看波形。以男生为例。均衡音量1.可以用匹配响度,自动调整声音的大小2。效果–强制限制幅度调整参数,

2021-06-30 21:58:06 6366

原创 日系背景色RGB,CMYK数值

参考:小鹿Lawrence 调色教程博主给的是CMYK颜色格式的数值,我在PR里面没有找到怎么找到这个颜色格式。我在网上找到了能够将CMYK数值转换成RGB数值的计算网址CMYK转RGB计算网址在Pr找到项目,选择一个颜色遮罩。双击颜色遮罩打开拾色器,将刚刚的颜色数值复制进去,因为屏幕色差,每个屏幕显示的颜色都不太一样。选择一个你喜欢的颜色作为背景色即可。...

2021-06-25 10:23:59 4866

原创 pr同时对多个视频进行缩放

参考这个回答对其中已经缩放好的视频,点击ctrl+c。然后选中其他所有的视频,右键复制属性。能够把视频的效果复制过去。

2021-06-24 21:19:56 6878 1

原创 SDRAM为什么可以复用行列地址线

写数据命令写数据时序写数据命令没有row地址是因为在个ACT命令的时候,就指定了是哪一行。所以他可以复用地址线。(行和列是不同时间段给的,行地址在ACT命令的时候给,列地址后面写的时候慢慢给。因为列刷新比较多。刷新完一列才要刷新一次行)1.ACT2.Write3.PRE(要换行了,要刷新了,需要给PRE命令,不过必须要等突发长度写完了才能刷新)网络上的参考:第四章 存储器...

2021-04-21 16:55:01 1690

原创 如果制作一个好看的Vlog视频,摄影&剪辑&声音博主推荐

如何制作一个好看的Vlog视频博主主业还是硬件工程师,但是哪个男孩子不想成为一名摄影师呢?制作一个好看的视频,有时候对自己还是挺有纪念意义的。下面就分享一下我平时看到摄影和剪辑相关比较好的博主叭。摄影1.彭弘Red相机入门教程系列这个视频放在第一个,因为这个教程讲相机的参数讲的真的很好!很通俗易懂。2.Brandon Li大神的运镜教程因为视频不同于图片,我现在的理解是,拍摄的时候,要尽量移动相机,要让画面移动起来,不然画面就看起来会像照片,很沉闷。Brandon Li大神的运镜手法

2021-04-14 16:59:34 1056

原创 ModelsimSE仿真Quartus ii13 IP核

参考这篇文章:如何用ModelsimSE仿真IP核-以PLL为例我在library按照教程添加了库和文件,并且修改了Modelsim.ini文件。但是软件上始终显示empty。并且无法编译里面的文件。解决方法: 我也还没有实际解决这个问题,我将220model.v, altera_mf.v文件一起添加到工程中。进行编译,然后在点击开始仿真,能够进行IP核的仿真了。缺点就是这个不像那个教程中的,一次配置,终身试用,这个换个工程就要重新添加文件。...

2021-03-17 21:45:22 551

原创 Matlab 常用的图像处理方法

文章目录Matlab 常用的图像处理方法RGB转灰度噪声添加滤波中值滤波均值滤波sobel算子处理图像形态学运算ReferenceMatlab 常用的图像处理方法RGB转灰度RGB2YCbCr RGB2Gray,其中 ycbcr中的Y 和 gray 都是表示灰度,这两种灰度有什么区别呢?RGB2YCbCr RGB2Gray灰度的区别简单来说,他们两RGB乘的数值不同,计算公式不同。FPGA上大部分转灰度都是使用Ycbcr中Y分量这个形式。Y = 0.257R+0.564G+0.098*B

2021-03-13 16:22:19 9297

原创 keras入门教程

线性回归非线性模型MNIST手写数字识别改进欠拟合,过拟合early stopDropout正则化梯度下降批量梯度下降随机梯度下降小批量梯度下降其他找寻最低点的方法卷积神经网络RNN模型的保存和载入保存模型载入模型绘制神经网络的结构几个keras学习的网址:1.kears官网2.kears入门指南我看的是AI MOOC的视频来学习kears框架。3.keras入门视频链接入门版视频代码链接直接看代码是最快的入门方式,这个操作和sklearn机器学习算法基本思想还是一样的。我觉得keras最大

2021-01-09 16:22:57 1632 1

原创 画网络结构图安装了pydot和graphviz出现Failed to import pydot. You must `pip install pydot` and install graph错误

安装了pydot和在官网下载了graphviz后还是报Failed to import pydot. You must `pip install pydot` and install graphviz的解决方案1.pip卸载原来安装的pydot和graphviz。2.使用conda install graphvizconda install pydotpluspip install pydot使用conda去安装graphviz和pydotplus。...

2021-01-08 10:31:06 363

原创 win10系统jupyter notebook无法打开虚拟环境,自动补全,无法找到程序输入点的解决方法

解决方法:需要安装nb_conda命令:conda install nb_conda_kernels或者conda install nb_conda然后重新启动conda打开jupyter notebook即可。

2021-01-05 16:45:53 1120 2

原创 黑马程序员机器学习Day3学习笔记

线性模型(关系)最小二乘法正则化代码实现模型性能评估过拟合和欠拟合岭回归逻辑回归癌症分类案例分类评估方法ROC曲线和AUC指标模型的保存和加载无监督学习 K-means算法如何对聚类结果进行评估 —— 轮廓系数线性回归1.线性回归的原理回归方程对一个或者多个自变量和因变量之间关系进行建模的一种分析方式线性模型(关系)y = w1x1+w2x2+w3x3...+b只有一个变量的情况,称为单变量回归;多个自变量情况叫做多元回归。线性模型不一定都是指这样的直线,也可以是弯的。因为广义线性模型的

2021-01-02 16:54:38 460

原创 黑马程序员机器学习Day2学习笔记

一.转换器和预估器二. KNN算法网格搜索和交叉验证KNN案例:Facebook预测签到位置朴素贝叶斯联合概率、条件概率与相互独立决策树信息熵回顾传统机器学习算法流程:1.获取数据2.数据处理3.特征工程4.机器学习算法训练5.模型评估总结本章内容: 1.KNN算法用于小型数据集的分类2.朴素贝叶斯用于特征于特征之间关系不强的算法,比如文本的分类3.决策树算法用于大型的数据集中一.转换器和预估器Day1的时候我们学习了获取数据,特征工程。(Day1中我们并没有没有使用到数据处理,因为.

2021-01-02 16:53:44 588

原创 黑马程序员机器学习Day1学习笔记

1.数据集的介绍字典特征抽取文本特征提取1.根据词频2.根据词的重要性特征预处理1.归一化标准化特征降维Filter过滤式:低方差过滤法PCA降维机器学习第一天基础不牢,地动山摇。直接上手tf,连很多机器学习的基础包都不会使用。比如sklearn, pandas (使用sklearn 来做特征工程 , pandas 用于数据的清洗和数据的处理)这些数据处理库,因此回去回炉重造,学习机器学习先。 学习的视频是黑马程序员的机器学习视频。视频和资料链接提取码:1234本篇文章内容:开发流程

2020-12-18 08:52:51 1021

原创 ZYNQ使用MIO点亮PS端的LED VS STM32点亮LED

参考正点原子视频:视频B站地址上一篇博文介绍了ZYNQ中PS端的GPIO正点原子ZYNQ PS端GPIO部分内容总结这一篇文章按照正点原子视频顺序,说一下如何控制PS端让MIO端口输出高低电平来点亮PS端的LED.我的实验平台:黑金7020开发板(我觉得黑金资料不适合我,文档只写了步骤,没有解释为什么要勾那些选项!)因为是基于PS端的,因此也需要创建一个ZYNQ7的block,配置一下DDR,要使用的外设等硬件配置信息。然后导入SDK中,这里的内容跳过,可以参考正点原子领航者ZYNQ嵌入式开发

2020-11-20 11:02:38 824

原创 正点原子ZYNQ PS端GPIO部分内容总结

正点原子视频上的笔记:GPIO是一个外设,用来对器件的引脚作观测以及控制MIO(Multiuse I/O),将来自PS外设和静态存储器接口的问题多路复用到PS的接口上。GPIO可以独立且动态的编程,作为输入/输出 以及中断模式。GPIO被分成了4个Bank. Bank0,Bank1通过MIO连接到PS的引脚,Bank2/Bank3通过EMO连接到PL软件通过一组存储映射的寄存器来控制GPIO。寄存器组DATA RO.用来反映器件引脚的状态。DATA,在GPIO被配置成输出的时候,该寄存器

2020-11-19 15:12:01 1103 3

原创 python使用Matplotlib包画制x^2+y^2三维图像

# 画制x1^2 + x2^2from matplotlib import pyplot as pltimport numpy as npfrom mpl_toolkits.mplot3d import Axes3Dfig = plt.figure()ax = Axes3D(fig)X = np.arange(-4, 4, 0.25)Y = np.arange(-4, 4, 0.25)X, Y = np.meshgrid(X, Y)# R = np.sqrt(X**2 + Y**2)#

2020-11-15 11:23:28 2862 2

原创 Pr两个视频合并后无法使用AU编辑音频的解决方法

出现的问题:直接将两个视频嵌套后无法使用Au进行编辑解决方法 :视频和音频先取消链接选中所有的音频,点击渲染和替换,进行渲染一次。渲染后,就能够使用AU进行统一编辑了。

2020-11-14 16:53:14 6556 1

原创 Pcharm Debug中几个常用快捷键

进入Debug ctrl + F9运行 ctrl + shift + f10一步一步有函数会进入函数的调试:F7一步一步运行,不会进函数 F8python 中for循环的参数如果没有定义默认为0for idx in range(x.size): # idx没有定义,默认为0

2020-11-09 09:53:35 324

原创 Pr中有音频轨道,但是播放不了声音

编辑–首选项设置音频硬件

2020-10-25 21:49:11 5649

fpga实现sobel边缘检测modelsim仿真代码

fpga实现sobel边缘检测modelsim仿真代码

2022-03-24

JLINK V8,V9驱动

本资源为JLINK V8 V9 驱动,亲测有效。双击安装,一路next,也可改变安装路径。

2018-11-18

数字逻辑基础与verilog设计

本文件为《数字逻辑基础与verilog设计》原书第二版的PDF资源。

2018-08-06

图像数据的显示上位机

本上位机是采用MFC编写,可用于显示位图数据,按下回车键修改图片的尺寸,双击可打开文件,容错范围为3个bit

2018-08-01

树莓派3b+资料

本资源为树莓派3b+资料,内置百度云链接,如果失效,可找我重新发给你

2018-08-01

BamToMif软件

本资源能将图片信息装换为mif文件,用于例化FPGA中的Rom

2018-07-20

Saleae16逻辑分析仪电脑上位机软件

本资源是Saleae16配套的上位机软件,内含三个版本的安装包,可选择性安装

2018-07-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除