自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

later的博客

一起学习记录哈

  • 博客(86)
  • 资源 (46)
  • 收藏
  • 关注

原创 MATLAB常用正则表达式记录

MATLAB正则表达式一、正则表达式二、需要掌握的基础元字符三、常用实例1、一、正则表达式正则表达式是一串用于定义某种模式的字符。在有些情况下(例如,在解析程序输入或处理文本块时),您通常会使用正则表达式在文本中搜索与该模式匹配的一组单词。字符向量 ‘Joh?n\w*’ 是一个正则表达式的示例。该字符串定义的模式以字母 Jo 开头,后面可跟,也可不跟字母 h(由 ‘h?’ 指示),然后跟有字母 n,最后以任意数量的单词字符结尾(由 ‘\w*’ 指示),此处的单词字符指字母、数字或下划字符。该模式与以下

2020-11-29 12:19:14 869 1

原创 MATLAB线性方程求解器(GUI+9种方法)

线性方程求解器一、线性方程求解二、求解方法克莱姆法则高斯消元主元素法LU分解法平方根法(A必须为正定矩阵)三角追赶法雅阁比迭代法高斯赛德尔迭代法松弛法三、测试及GUI测试GUI一、线性方程求解部分代码参考网络,侵删A0=[4 3 0 3 4 -1 0 -1 4];b0=[16 20 -12]';二、求解方法克莱姆法则function [ x ] = Cramer( A,b )%CRAMER 此处显示有关此函数的摘要% 此处显示详细说明%用克莱姆法则求解方程组

2020-11-25 13:54:28 7371 3

原创 数值分析的几道上机编程题

数值分析的几道上机编程题T1 四次牛顿插值T3 8次多项式插值T17T18原理都在书上,就不写了,很简单。T1 四次牛顿插值clc;clear all;close all;%% 4次牛顿插值x1=[0.2 0.4 0.6 0.8 1.0];y1=[0.98 0.92 0.81 0.64 0.38];len=length(y1);a=y1; % 表第一列是f(x)% 求均差ak,表for j=2:len for k=len:-1:j % 注意下标起点是

2020-11-18 15:49:10 2314 1

转载 信号处理:希尔伯特-黄变换

转载来源:信号处理:希尔伯特-黄变换在我们正式开始讲解Hilbert-Huang Transform之前,不妨先来了解一下这一伟大算法的两位发明人和这一算法的应用领域Section I 人物简介希尔伯特:公认的数学界“无冕之王”,1943年去世于瑞士苏黎世。除此之外,自不必过多介绍。黄锷:1937年出生于湖北省;1975年进入NASA(美国国家宇航局);美国国家工程院院士。Section II Hilbert-Huang的应用领域医学领域:探测心率不齐、登革热的扩散、血压的变化交通.

2020-11-17 16:18:59 2721

原创 Verilog关于inout端口电路的设计与仿真

写组合逻辑电路的最后一个模块是双向总线缓冲器,就是基于三态门的。这里涉及到inout端口。编写程序发现诸多问题。这也是针对我做的这个小电路的总结,也算有一些更深的理解了1、设计文件的编写先给出完整文件:`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date:

2020-10-29 20:49:15 3609

原创 Vivado封装、调用IP核及Diagram设计

1、首先制作IP核需要把对应封装的电路程序设为顶层文件。2、设置IP核的库名和位置3、自定义自己的IP核现在已经能看到封装好的IP核了。4、下一步调用这个封装的半加器IP核,构建全加器电路搜索添加刚才的IP核发现一个事情,add IP可以添加之前封装的IP核,但是直接add Module,然后选择之前写的Verilog文件同样能导入一个模块。所以如果在一个工程下做,是不是不打包IP核也挺方便的。右击电路选择Create Port添加input和output连线就完成了设计。

2020-10-29 20:19:15 6451

原创 FPGA学习路线

参考在图片上个人喜欢图像处理,还要看实际情况了

2020-10-25 21:47:53 738 1

原创 FPGA入门开发完整流程(Vivado2020+Verilog)

一、总体流程开发工具:Vivado2020VerilogARTIX-7 FPGA AX7035这是我做的完整流程,涉及到初级开发的功能;新建工程:(RTL Project)芯片选型;编写程序:源文件,仿真文件,约束文件;时序仿真;约束:IO配置;综合;实现生成二进制文件,下载验证。这是网上找的的开发流程框图二、具体流程2.1 新建工程现在进入了开发主界面,认识一下IDE的各部分可以在右上角把自己拖拽好的布局保存下来。2.2 编写程序新建源文件:然后有3个

2020-10-25 21:42:37 11894 1

原创 MATLAB读取透明背景的png图片出现黑色问题的解决

原图,白色以外都是透明背景的。直接读取的效果I=imread('G1.2.png');imshow(I);看下原始数据解决问题设置好透明度alpha就可以了[I map alpha]=imread('G1.2.png');h=imshow(I);set(h,'AlphaData',alpha);

2020-10-20 18:07:07 4976 2

原创 matplotlib库中文字体显示问题及解决

一、问题matplotlib如果显示中文的话会出现报错及显示异常的问题。这是因为默认的字体库不支持中文。二、解决指定plt使用的字体就可以解决问题plt.rcParams['font.sans-serif']=['SimHei'] #指定默认字体 SimHei为黑体plt.rcParams['axes.unicode_minus']=False #用来正常显示负号常用字体:黑体 SimHei微软雅黑 Microsoft YaHei微软正黑体 Microsoft JhengH

2020-10-19 10:25:49 2712 2

原创 一道算法题的求解

一、题目将1-9这9个数字组合成3个三位数,要求第2个三位数是第一个三位数的2倍,第3个三位数是第一个三位数的3倍。找出所有的可能方案。二、求解如果直接求出所有符合要求的排列组合的数那效率会特别低,所以最基本的思路就是先用便捷的方法得到满足一部分要求的数,再筛选。Method 1:暴力求解组合的三位数的范围是111-999(重复的、含0的最后排除)那么第一个数的范围就是111-333遍历111-333,求出三个数字的每一位数字排除掉含重复数组和含数字0的方案程序:%% method1:

2020-09-20 16:13:05 5585

原创 【Linux基础】Linux简介

之前用过一段时间Linux做过嵌入式和网络服务器开发,有一些小小的基础。但是毕竟也是边学边用的,掌握并不牢靠。现在换成Linux做开发,刚好可以把笔记做一下。但是更多的还是包括Linux基本使用和shell的。一、Linux简介Linux是什么Linux(发音:ˈlɪnəks/ LIN-əks)是一种自由和开放源码的类UNIX操作系统。该操作系统的内核由林纳斯·托瓦兹在1991年10月5日首次发布在加上用户空间的应用程序之后,成为Linux操作系统。Linux也是自由软件和开放源代码软件发展..

2020-09-13 07:52:38 5595

原创 短距离无线通信技术试验:PCM、CVSD编译码仿真实现

一、编码原理 考研结束了,在宿舍打游戏觉得太无聊。睡觉也睡不着,所以决定继续加油吧。就像投篮之后保持手型更容易形成肌肉记忆那样。 所有程序我放在这了,仅供参考。点击下载所有程序(CSDN下载).点击下载所有程序(百度网盘下载).1.1 PCM编码原理 1.2 PCM译码原理 2.CVSD 二、MATLAB仿真实现1.1 PCM仿真程序及结果 结果分析: ...

2020-09-06 14:25:09 8300 2

原创 短距离无线通信技术实现:CRC、2/3FEC、1/3FEC、差错控制编码理及编程实现

所有程序我放在这了,仅供参考。点击下载所有程序(CSDN下载).点击下载所有程序(百度网盘下载).一、编码原理1.1 CRC 1.2 1/3FEC 1.2 2/3FEC 二、程序实现(C#窗体)1.1 CRC实验结果及分析 结果分析: 2.1 1/3FEC结果及分析 结果分析: 三、后记 和上一篇博客一样都是去年做的东西了,但是忙于考研没来得...

2020-09-06 14:13:41 8067

翻译 Very Deep Convolutional Networks for Large-Scale Image Recognition

原文:Very Deep Convolutional Networks for Large-Scale Image Recognition用于大规模图像识别的极深卷积网络摘 要:在这项工作中,我们研究了卷积网络深度在大规模的图像识别环境下对准确性的影响。我们的主要贡献是使用非常小的(3×3)卷积滤波器架构对网络深度的增加进行了全面评估,这表明通过将深度推到16-19加权层可以实现对现有技术配置的显著改进。这些发现是我们的ImageNet Challenge 2014提交的基础,我...

2020-08-26 12:31:26 7136

原创 Ubuntu下Xrdp实现远程桌面服务

Ubuntu下Xrdp实现远程桌面服务一、Unity桌面(Ubuntu自带桌面)1.1 安装tightvncserver服务:1.2 安装xrdp3.3 测试二、Xubuntu桌面(第三方桌面)三、透传的远程桌面Tip: 用到的端口在阿里安全组和服务器防火墙都要配置一下哦。一、Unity桌面(Ubuntu自带桌面)这里我按照网上的教程做了,但是最后的结果是花屏。但是教程上面是成功了的。想试试的可以看看。否则就直接看博客的第二节吧。1.1 安装tightvncserver服务:sudo apt-g

2020-08-26 12:01:58 7967

原创 自建本地透传服务

自建本地透传服务一、思路二、工具三、实现透传功能-服务器端3.1 准备公网服务器3.2 安装java运行环境3.3 安装lanproxy(在公网服务器上)3.4 反向代理Nginx3.5 配置客户端转发四、实现透传功能-本地端五、简单的客户端程序六 Tip本文所用的文件WinSCP的使用ubuntu下RDP配置一、思路思路如下图所示只有具有公网ip,普通设备才能去访问他,否则是无法访问的。所以需要访问本地设备还需要借助一台公网服务器。这里的公网服务器就是起到了端口转发的作用,当上网设备想访问本地电脑

2020-08-25 18:41:45 8775

原创 Python上传和获取OneNet图片数据流

一、前言很早的代码,今天清理下电脑,都要删掉了,发在博客上,希望能帮到有需要的人。代码分为上传图片和获取图片数据流两部分,官方的文档在这上传文件获取文件二、代码和效果# -*- coding: utf-8 -*-""" @Time : 2019/3/21 8:38 @Author : later @Email : [email protected] @File : onenetPic.py @Software: PyCharm"""import request

2020-08-01 08:59:44 8607 2

转载 入门 | 我们常听说的置信区间与置信度到底是什么?

转自:机器之心Pro,《入门 | 我们常听说的置信区间与置信度到底是什么?》作者:Dima Shulga 参与:程耀彤、思源原文地址:https://www.sohu.com/a/226540397_129720一、引用正文:机器学习本质上是对条件概率或概率分布的估计,而这样的估计到底有多少是置信度?这里就涉及到统计学里面的置信区间与置信度,本文简要介绍了置信区间这一核心概念,它有助于我们从直观上理解评价估计优劣的度量方法。本文讨论了统计学中的一个基本术语 :置信区间。我们仅以一种非常友好的.

2020-07-13 08:07:00 8936 1

原创 Android动态获取存储权限

Android动态获取存储权限一、前言二、动态获取权限三、举一反三四、参考资料:一、前言在开发中用到了SD卡的存储,在AndroidManifest.xml里开启后并不起作用。在执行需要权限的代码时就会出现:IOException: No such file or directory 这样的错误。原因是在API23(Android6.0之后),有一些危险权限只能动态获取,如定位、电话、麦克风等。查到了解决办法,现记录一下。二、动态获取权限动态获取权限是API23以后开始要求的,也就是我们目前使用

2020-05-31 13:06:50 15111 2

原创 AD20绘制PCB

这不是一篇教程或者笔记! 使用中,不开源。花了两三天天时间,画了一块PCB。电赛时和同组小伙伴学了一点儿,但是只能算学到一点儿。学习到的一些东西:自己参照数据手册画封装库;手动接STM32的线,其余自动布线并修改;裁剪、铺铜、标注尺寸、etc.现在总结貌似没有做到时候那么多的问题了,但是的确不太容易,现在也算入门,了解整套流程啦。这次画PCB也是参考网上教程,遇到问题或需求直...

2020-04-02 13:31:32 14138

原创 【STM32调试(三)】采集bmp图像保存在SD卡

将图像保存在SD卡一、思路二、移植文件系统三、保存图片四、实验结果及开源一、思路二、移植文件系统三、保存图片四、实验结果及开源

2020-03-24 21:37:17 10836 4

原创 【STM32调试(一)】串口发送像素,上位机解析显示。

图片上位机一、思路二、STM32采集数据发送2.1、OV7725模组2.2、串口发送三、上位机接收,解析,显示保存2.1、接收解析2.2、数据格式转换2.3、遇到问题四、小结&开源一、思路二、STM32采集数据发送2.1、OV7725模组2.2、串口发送有两种发送方式:高低位单独发送;合并一起发送。发送内容:黑白,二值,彩图三、上位机接收,解析,显示保存2.1、接收解析2...

2020-03-20 14:54:32 17551 17

原创 Python Web学习笔记,电影网站

Python Web开发一、前言二、笔记2.1、Python 实战(0):初识 web.py安装web.py2.2、Python 实战(1):在网页上显示信息2.3、Python 实战(2):简单的数据库2.4、Python 实战(3):更多的页面2.5、Python 实战(4):搜一下2.6、Python 实战(5):拿来主义2.7、Python 实战(6):放开那只海豹2.8、Python 实...

2020-03-15 11:21:05 7581

原创 串口助手Python界面版

串口助手Python界面版1. 安装PyQt5:2. 把安装好的PyQt5环境配置到Pycharm中3. 设计串口助手3.1 界面设计3.2 QT界面转python代码3.3 写事件回调4. 小结基于Python3、Qt5实现串口助手设计参考https://github.com/ColinFred/Pyserial-Demo1. 安装PyQt5:pycharm直接安装PyQt5遇到很多...

2020-03-10 14:39:56 7977 1

原创 PHP Web项目部署记录(一)

环境部署Windows下安装Apache:教程地址Windows下PHPStorm安装交叉:PHP中文网Windows下MySql5安装:百度经验其它参考:工程自带README文件1、配置IP地址映射关系配置 hosts 文件路径: C:\Windows\System32\drivers\etc\hosts在该文件里面添加如下映射:127.0.0.1 www.iotweb.co...

2019-12-26 14:38:16 7933

原创 Socket网络编程笔记(C#代码)

目录一、Socket概述二、UDP收发原理:特点:UDP发:UDP收 :运行结果:三、UDP综合实例运行结果:​四、TCP Socket原理:客户端:服务端:实验结果:五、TCP Socket多线程应用六、Socket与HTTP七、总结期末考试考到了Socket概念的简答题。之前做项目自己也用到过。刚好现在空闲整理一...

2019-01-18 19:49:59 6636

原创 OneNET数据推送的实现(第三方对接)

一、 准备花生壳等端口映射工具(有公网IP最好):http://**********.la/ SDK下载:https://open.iot.10086.cn/doc/art431.html#118 vs2015开发环境 花生壳设置好映射端口(NGROK等类似的端口映射工具都是可以的)PS: 用Xtunnel进行端口映射参考:https://blog.csdn.net/qq_378...

2018-12-23 17:19:59 16983 42

转载 VS2015发布中没有Visual Studio Installer

https://blog.csdn.net/headwind_/article/details/59484157

2018-11-22 14:14:28 9320

原创 DSP学习笔记----片内ADC

一. DSP5509A片内ADC简介DSP内部的ADC转换速率比较低, 采样频率21.5kHz,所以只能采样一些频率较低的信号。DSP5509A内部集成10位连续逼近模式模/数转换器.//文档: 5509 DSP Analog-to-Digital Converter (ADC) Reference Guide.pdf 根据ADC的结构图,可以看见,ADC由时钟电路, 通道选择电路...

2018-11-03 16:57:19 10070 1

原创 DSP学习笔记----EMIF(外部存储器接口)

一. EMIF介绍CE空间:dsp的存储空间分为片内和片外两种,片外空间分成四个部分,分别用于映射不同的片外设备,即ce空间 。The remainder of the memory map is external space that is divided into four spaces. Each space has a chipenable decode signal (c...

2018-10-29 18:57:29 33493

原创 车牌识别算法及其MATLAB实现

一.算法 读取拍摄图像 --> 截取车牌部分 --> 识别车牌图像预处理:将图像经过图像灰度化、图像增强、边缘提取、二值化等操作,转换成便于车牌定位的二值化图像;车牌定位:利用车牌的边缘、形状等特征,再结合Roberts 算子边缘检测、数字图像、形态学等技术对车牌进行定位;字符的分割:采用的方法是将二值化后的车牌部分进行寻找连续有文字的块,若长度...

2018-10-26 20:56:41 27663 45

原创 DSP学习笔记----GPIO学习及实验(附程序)

一. GPIO寄存器TMS320VC5509A有八个GPIO引脚. 它的配置寄存器有两个:GPIO方向寄存器IODIR 和 GPIO数据寄存器IODATAGPIO方向寄存器(IODIR):GPIO方向寄存器IODIR 位 字段 说明 15~8 Rsvd 保留 7~0 IOxDIR IOx方向控制位。 0:IOx配置为输入...

2018-10-25 14:47:20 8936

原创 微信小程序----对接OneNet平台(测试版)

项目地址 : https://download.csdn.net/download/qq_37832932/10721614Github :  https://github.com/SCFMVP一. 效果图微信只允许https访问, OneNet是http请求, 只能用于自己开发版, 要想上线还要有自己的服务器应用二.代码wx.request({ ur...

2018-10-24 20:39:52 15402 1

转载 Git使用教程

Git使用教程 一:Git是什么?       Git是目前世界上最先进的分布式版本控制系统。二:SVN与Git的最主要的区别?      SVN是集中式版本控制系统,版本库是集中放在中央服务器的,而干活的时候,用的都是自己的电脑,所以首先要从中央服务器哪里得到最新的版本,然后干活,干完后,需要把自己做完的活推送到中央服务器。集中式版本控制系统是必须联网才能工作,如果在局域网还...

2018-10-16 18:35:57 6312

原创 小程序开发----地图控件的使用(登录+map+控件)

项目地址: https://download.csdn.net/download/qq_37832932/10721614一. 效果图二. 代码login.jsPage({ data: { phone: '', password: '' }, // 获取输入账号 phoneInput: function (e) { this.setD...

2018-10-15 15:53:09 7081

原创 安卓开发---基于SQLite实现增删查改

项目地址: https://download.csdn.net/download/qq_37832932/10715152Github: https://github.com/SCFMVP一、关于SQLiteSQLite 是一个软件库,实现了自给自足的、无服务器的、零配置的、事务性的 SQL 数据库引擎。SQLite 是在世界上最广泛部署的 SQL 数据库引擎。SQLite 源代码...

2018-10-12 09:32:42 8837 1

原创 51单片机实训----门禁系统

基于51单片机的实训项目, 智能门禁系统.目录实训内容 : 项目地址 : 具体代码 实现效果 :实训内容:“门禁系统”主要有两种工作模式:       模式一: 7:00 – 22:00 为自动门状态, 该状态下门的开和关是通过超声波测距来控制, 当测得到的距离小于30CM时门就开, 门开5s后自动关门.       模式二: 22:00 – 7:00 为密码门状态, ...

2018-09-30 19:02:51 12118 3

原创 Android开发----移植华为java工程+高德地图实现自己的一个应用

 新手, 花了一周时间做出来的, 遇到很多坑, 如有不足请多指教. 项目地址: github一. 移植华为给的java工程 在华为的OceanConnect上下载java的Demo, 待会我们把工程文件直接复制到我们新建的AS工程下面去. (我只用到了一个接口, 但是每个接口修改调用都是一样的)复制所需文件: Huawei_IoT_Platform_Demo_North_Lit...

2018-09-16 15:05:30 7232 5

原创 安卓开发----Json解析

json在我们学习中是不可避免都会遇到的, json使用起来特别方便, 但是对于json的解析会遇到一些问题.今天的文章直说如何做到解析json数组一. 解析最简单的Json数组{"accessToken":"1ed77dd84930e1c99e8feb67fd3b61c","tokenType":"bearer","refreshToken":"91e4401e8a49d1a8.

2018-09-15 14:29:33 7755

穿透所需要的工具-JDK+lanproxy

请对照博客使用:自建本地透传服务。https://blog.csdn.net/qq_37832932/article/details/108223285 用于自建透传服务使用 请对照博客使用:自建本地透传服务。 用于自建透传服务使用 请对照博客使用:自建本地透传服务。 用于自建透传服务使用

2020-08-25

Python搭建的Web服务

Python搭建的Web服务,Web.py.适合学习开发使用. 开发环境:Python3+MySql5

2020-03-15

Python+QT5写的串口助手

Python+QT5写的串口助手,适合学习Python和QT使用,也适用于开发使用。具体开发及内容见博客:https://blog.csdn.net/qq_37832932/article/details/104773741

2020-03-10

很全的3D封装库,经过实践检验

很全的3D封装库,封装库文件比较大.用了好久了,经过实践检验的.将近200M.适合初学者/高级开发者.

2020-02-19

ShowCharts.zip

Android折线图显示,单线、多线、预警线、实时变化、滑动加载、动画效果。 适合折线学习,项目应用。

2020-02-08

Tiny6410官方PDF资料合集.zip

友善之臂tiny6410配套资料, 包括PDF文档和SD-flash.exe烧写软件. 01- Tiny6410硬件手册.pdf 02- Tiny6410功能测试指南.pdf 03- Tiny6410刷机指南.pdf 04- Tiny6410 Linux开发指南.pdf 05- Tiny6410 Android开发指南.pdf 06- Tiny6410 WindowsCE开发指南.pdf 07- Tiny6410 Ubuntu用户手册.pdf 08-SD-flash.exe

2019-05-22

Tiny6410资料合集PDF+SDflash.zip

友善之臂tiny6410配套资料, 包括PDF文档和SD-flash.exe烧写软件. 01- Tiny6410硬件手册.pdf 02- Tiny6410功能测试指南.pdf 03- Tiny6410刷机指南.pdf 04- Tiny6410 Linux开发指南.pdf 05- Tiny6410 Android开发指南.pdf 06- Tiny6410 WindowsCE开发指南.pdf 07- Tiny6410 Ubuntu用户手册.pdf 08-SD-flash.exe

2019-05-22

物联网综合实训1所有流程图

流程图包括: 一、CC2530GPIO实验; 二、系统主时钟源的选择; 三、SPI通信与LCD显示; 四、ADC与数据采集; 五、UART串行通信实验; 六、定时器1实验; 七、外部中断实验; 八、看门狗实验; 九、IEEE802.15.4基础理论实验; 十、多种拓扑结构组

2019-04-29

CC2530物联网综合实训1代码

物联网综合实训一代码, 实验代码包括: 一、CC2530GPIO实验; 二、系统主时钟源的选择; 三、SPI通信与LCD显示; 四、ADC与数据采集; 五、UART串行通信实验; 六、定时器1实验; 七、外部中断实验; 八、看门狗实验; 九、IEEE802.15.4基础理论实验; 十、多种拓扑结构组网实验; 十一、基于RFID的基本读写; 十二、系统实验通用传感器实验; 十三、无线通信系统实验

2019-04-29

物联网综合实训1报告

物联网综合实训1报告两篇: 实验包含: GPIO、时钟源、SPI、ADC、UART、定时器、外部中断、看门狗、RFID、IEEE802.15.4基础理论实验;;多种拓扑结构组网实验;基于RFID的基本读写;系统实验通用传感器实验;无线通信系统实验

2019-04-29

MATLAB数字图像处理GUI设计

MATLAB数字图像处理GUI设计MATLAB数字图像处理GUI设计MATLAB数字图像处理GUI设计 博客: https://blog.csdn.net/qq_37832932/article/details/80147798#comments

2018-12-16

基于MATLAB的车牌识别程序

基于MATLAB的车牌识别程序, 还可以直接使用摄像头获取图像

2018-10-26

微信小程序

微信小程序, 登录界面, 使用原生地图, 控件,定位, 打点.

2018-10-15

SQLiteLearn

使用SQLite创建数据库新建表, 实现数据的增删查改操作.

2018-10-12

门禁模拟控制系统--进阶

基于STC89C52的门禁模拟控制系统, 模块化编程, 注释详细

2018-09-30

基于STC89C52的门禁模拟控制系统

基于STC89C52的门禁模拟控制系统, 模块化编程, 注释详细.

2018-09-30

c#窗体应用程序实现对数据库的增删查改

c#窗体应用程序实现对数据库的增删查改, 不包含数据库文件, 请自行根据代码构建数据库表格

2018-07-19

C#调用GMap在地图上打点等操作

C#调用GMap在地图上打点, 绘制多边形, 显示标签, 点闪烁

2018-07-19

Quectel-BC95-AT-Commands-Manual-V1.6.pdf

Quectel-BC95-AT-Commands-Manual-V1.6.pdfQuectel-BC95-AT-Commands-Manual-V1.6.pdf

2018-06-14

BC95 AT指令集

BC95 AT指令集(中文), 适用于所有Bc95模块的AT指令集,

2018-06-14

1800-2017IEEE Standard for SystemVerilog.pdf

SV IEEE标准,2017版本。

2024-01-29

AMBA手册,包含AHB和APB

AMBA手册,包含AHB和APB 更多下载地址: https://www.arm.com/architecture/system-architectures/amba/amba-specifications

2023-09-06

amba-svt doc

snpsys vip amba_svt doc s家VIP文档

2023-08-17

VCS-userguide-Q-2020.03-SP2.pdf

VCS VCS user guide VCS用户手册 VCS-userguide-Q-2020.03-SP2

2023-07-16

matlab答题卡识别

matlab答题卡识别

2023-05-28

windows锁屏脚本

实现windows+L锁屏的功能

2023-05-28

MATLAB不同利率房贷计算

分析不同利率、不同贷款年限、不同贷款额的房贷情况

2023-05-28

深度学习训练完成给手机发通知模块

python程序结束后给手机发送消息通知 基于pushplus服务 http://www.pushplus.plus/push1.html 适用于深度学习模型训练,py,ipynb都是用 模板代码,申请token填入即可测试使用。

2022-12-03

vscode_for_verilog

windows下vscode的Verilog开发环境配置 包括:语法高亮、自动补全、语法检查、波形查看。

2022-09-14

matlab.rar通过matlab将彩色图片生产coe文件

matlab.rar通过matlab将彩色图片生产coe文件 提供给fpga使用 方便调试功能

2022-05-18

zynq图像处理参考工程

zynq图像处理参考工程 涉及到SD读写、HDMI显示、摄像头、图像处理 一些demo的集合,用来参考二次开发用的 demo!!!!

2022-05-05

plutoSDR ad公司的软件无线电的固件

plutoSDR ad公司的软件无线电的固件,不含教程。教程可以在官网,github和维基上找到。支持windows和linux编译

2022-02-15

C语言编写的计算器程序

C语言写的计算器程序

2020-12-27

MATLAB电话音生成与识别

MATLAB电话音合成与识别 0-9-*-#十二个音的生成,用户点击生成,同电话拨号,合成后在通过频谱分析识别对应的电话音 带GUI界面

2020-12-27

短距离无线通信技术实验代码及运行结果

短距离无线通信技术实验,包括CRC HEC FEC差错控制实验,用C#写的winform窗体,验收满分。

2020-09-06

超声波测距模块.rar

STM32F103超声波测距程序

2020-07-01

MARVELL88w8801数据手册.pdf

MARVELL88w8801数据手册.pdf

2020-06-09

Fuzzy_PID.zip

FuzzyController PID,模糊控制

2020-04-29

通信原理快速复习资料.pdf

通信原理复习笔记,知识点总结。适合复习使用,共17页,知识点全,讲解清晰。

2020-04-06

STM32串口发彩色图片

正点原子miniSM32采集OV7725图像数据,发送给串口,上位机接收数据,并解析为bmp图片.更多请看博客,【STM32调试(一)】串口发送像素,上位机解析显示。

2020-03-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除