自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(31)
  • 收藏
  • 关注

原创 vi/vim/gvim编辑器使用心得

vi的工作模式Vi在初始启动后,先进入编辑模式,用户可以使用预定的按键来移动光标、删除文字、复制或粘贴文字。用户在编辑模式下键入i、a、o等命令可以进入插入模式,在插入模式下,用户随后输入的除了ESC外的任何字符都被看做是插入到编辑缓冲区的字符,按ESC后,从插入模式切换到编辑模式。在命令模式下,Vi把光标挪到屏幕的最下方,并在第一个字符的位置显示一个:。用户可以键入一些命令,这些命令可以用来保...

2019-09-19 09:37:04 631

原创 小白IC验证入门

暑假在海思实习,作为数字验证小白,为了继续进阶自己的验证水平,特此记录学习历程。推荐三本入门数字验证的书籍,也是我正在看的:《UVM实战》(白皮)《芯片验证漫游指南-从系统理论到UVM的验证全视界》(红皮)《systemVerilog验证-测试平台编写指南》(绿皮)...

2019-09-05 23:45:20 3076 3

原创 重温FPGA设计流程(七、纯Verilog实现数字频率计)

软件:Vivado2017.4 板卡:Ego1 型号:xc7a35tcsg324-1七、纯Verilog实现数字频率计hz_counter_top.v`timescale 1ns / 1psmodule hz_counter_top( input wire clk_100MHz, input wire clr, input wire sig_source, output wir...

2019-06-04 01:03:48 4940 5

原创 Vivado 运行RTL分析闪退

不要先打开Vivado然后在软件里面打开工程文件应该直接在文件夹中找到工程文件双击点开运行亲测有效

2019-06-04 00:59:54 4113 18

原创 重温FPGA设计流程(六、纯Verilog实现数字钟)

软件:Vivado2017.4 板卡:Ego1 型号:xc7a35tcsg324-1六、纯Verilog实现数字钟clock1_top.v`timescale 1ns / 1psmodule clock1_top( input clk_100MHz, input clr, input en, input mode, input inc, ou...

2019-05-22 00:24:58 5029 10

原创 重温FPGA设计流程(五、调用DDS IP核产生正弦波)

创建工程,DDS_test。在IP Catalog中搜索DDS,选择其中一个DDS Compiler,双击打开。创建顶层.V文件。module dds_top( input rst_n, input clk_100M, output data_tvalid, output [7:0] data_tdata );reg ...

2019-05-18 22:05:18 8347 4

原创 重温FPGA设计流程(四、有限状态机)

`timescale 1ns / 1psmodule seqdetea( input wire clk, input wire clr, input wire din, output reg dout ); reg[2:0]present_state,next_state; parameter S0=3'b000,S1=3'b001,S2=...

2019-05-14 01:31:35 479

原创 重温FPGA设计流程(三、基于Verilog来设计流水灯)

软件:Vivado2017.4 板卡:Ego1 型号:xc7a35tcsg324-1三、基于Verilog来设计流水灯1、打开Vivado,创建一个名为design_test的空白工程。2、点击Add source或者Sources中的+号来添加或者创建一个设计源文件,点击Next。3、点击Create File来创建一个空白的源文件,类型选择为Verilog,文件名为flowing...

2019-05-10 21:42:37 2901

原创 重温FPGA设计流程(二、IP核应用-全加器)

软件:Vivado2017.4 板卡:Ego1 型号:xc7a35tcsg324-1二、IP核应用-全加器1、创建空白工程。2、将上节课创建的74LS00 IP核文件复制到新工程目录下。3、打开IP Catalog,右键单击选择Add Repository,添加本工程文件下的IP核目录。4、在IP INTEGRATOR下选择Create Block Design。创建基于IP核的...

2019-05-10 14:56:04 1428

原创 重温FPGA设计流程(一、IP封装)

软件:Vivado2017.4 板卡:Ego1 型号:xc7a35tcsg324-1一、IP封装1、打开Vivado,点击Create Project。2、点击Next,在Project name中输入我们的项目名称:74LS00.3、点击Next,默认勾选Do not specify…4、点击Next,核对信息,然后点击Finish。5、在空白工程中,左侧Flow Navig...

2019-05-10 00:22:38 1451

原创 Vivado [Labtoolstcl 44-494]错误解决方法

[Labtoolstcl 44-494] There is no active target available for server at localhost. Targets(s) ", jsn1" may be locked by another hw_server.上面这个错误,简直史前巨坑,谷歌百度搜了三四个小时,给的解决办法简直扯淡,又是关这个又是按那个的,最后我用了一...

2019-05-06 02:29:26 13302 16

原创 Python实现同一目录下所有log文件转为txt文件

最近在Linux下测试芯片产生大量log文件,但是matlab需要操作txt,需要一步一步转换。。。出于偷懒考虑,参考了一个python程序,实现同目录下的log转txt快速实现。# -*- coding: utf-8 -*-"""Created on Thu Mar 28 12:58:44 2019@author: yuanpeng"""import osf_path = r...

2019-03-28 13:10:43 1451

原创 联想小新Air13高定黑使用初体验

联想小新Air13高定黑前段时间老电脑一直死机,外加电池不抗用了,无奈之下,某东选购电脑,预算7k左右,挑了好久,主要是纠结于ThinkPad 翼480/小米Pro/华为matebook D/惠普 战66等等,最后万分纠结之下买了联想小新。青岛地区,凌晨下单,下午三点多电脑就到了手里面,刚取到的时候还是有些紧张,晃了晃箱子发现有声音在响,后来知道是充电器,开箱的时候还特意录了视频,怕有问题退货...

2019-03-21 00:22:16 2861

原创 CESM移植方法-记录

一、环境搭建:安装icc和cmakeA.安装icc在https://software.intel.com/en-us/qualify-for-free-software这个网址中注册并下载parallel_studio_xe_2016_update4_online.sh,然后使用命令:sh parallel_studio_xe_2016_update4_online.shB.安装cma...

2019-02-25 14:08:08 4339 2

原创 ASC19 T4 CESM

CESM模型命名规则CESM.X.Y.ZX -对应于表明重大科学变化的主要版本号。Y -对应于为目标组件添加新的基础设施和新的科学能力。Z-对应于发布错误修复和机器更新。...

2019-01-24 23:40:39 358

原创 NVIDIA CUDA入门(4)GPU体系架构概述

GPU 体系架构概述为什么需要GPU?应用的需求越来越高FLOPS:每秒钟可以进行的浮点数预算GFLOPS:每秒钟可以运行十亿条浮点数运算TFLOPS:一万亿次GPU是一个异构的多处理器芯片,为图形图像处理优化橙色的是渲染器(核心为ALU计算单元)0 三种方法提升GPU的处理速度:1、16个核共享一条指令流,如果不是一条,需要额外的辅助控制机构,指令流共...

2019-01-17 17:03:47 732 2

原创 NVIDIA CUDA入门(3)并行计算

任务并行任务串行任务共享存储分布式存储通信同步粒度加速比并行开销可扩展性 存储器架构:共享存储、分布式存储、吻合分布式存储。并行程序的编程模型:共享存储模型、线程模型(启动多线程)、消息传递模型(MPI,彼此独立的存储单元上,通过消息传递)、数据并行模型(整个数据进行切分)SPMD 单个程序多个数据 MPMD 多个程序多个数据设计并行处理...

2019-01-17 13:15:03 466

原创 ASC19 初赛要求自翻译

ASC Student Supercomputer Challenge (2019) Preliminary Contest Notifications一、初赛交一套文件:提案书、优化后源代码、输出文件。英文书写。2019年3月3日上午8:00前 邮箱:[email protected]提案文件格式(.docx或.pdf)命名(AAAUniversity_BBB.docx)其余...

2019-01-14 13:47:01 2828

原创 NVIDIA CUDA入门(2)GPU结构

GPU共享指令流的多核系统访存是最大的问题GPU、CPU存储优化结构仔细看规则化存储、缓存结构

2019-01-14 11:53:26 324

原创 NVIDIA CUDA入门(1)CPU结构

基础知识:1、计算机体系结构基础2、C语言程序设计3、计算机算啊基础4、线性代数https://developer.nvidia.com/computeworks NAIDIA CUDA Zone一、CPU体系架构概述1、什么是CPU?大多冯诺依曼体系结构,执行指令,处理数据的器件、增加了复杂功能、包含大量晶体管。指令举例:算术:add r3,r4 -&gt...

2019-01-14 11:23:32 208

原创 手撸Lenet-5留念

import cv2from numpy import *import numpy as npimport mathnp.set_printoptions(suppress=True)img0 = cv2.resize(cv2.imread("D:\DDDD.png", cv2.IMREAD_GRAYSCALE), (32, 32), interpolation=cv2.INTER_...

2018-12-06 20:15:10 4273

原创 基于PYNQ的神经网络硬件加速(一)pool实现

 pool_core.h#ifndef __POOL_CORE_H__#define __POOL_CORE_H__#include <ap_int.h>#include <iostream>typedef float Dtype_f;void Pool(ap_uint<16> CHin,ap_uint<16> Hin,ap...

2018-12-04 23:01:15 3422 11

原创 PYNQ(2)USB摄像头

PYNQ Z2读取USB摄像头数据并通过HDMI输出到显示器我们主要是通过其arm核来读取USB摄像头的数据,要求所用摄像头支持免驱,如果采用的是工业摄像头,则需要先安装相应的驱动。由于PYNQ Z2镜像自带了opencv库,所以我们主要采用python3+opencv库。from pynq.overlays.base import BaseOverlayfrom pynq.lib.vide...

2018-12-03 00:09:05 9614 28

原创 PYNQ神经网络(1)HLS

2018-11-28 23:17:41 3465 3

原创 跟着大哥学装TensorFlow及一堆包

打开Anaconda Prompt第一条命令:onda create -n tensorflow python==3.5静静等待。。。然后转到TensorFlow 环境下activate tensorflow对pip进行降级用pip安装TensorFlowpip install tensorflow静静等待。。。一大堆东西的安装。。。然...

2018-11-16 23:45:44 357

原创 FPGA verilog学习笔记(四)FIFO

今天尝试建立了Quartus || 13.1的FIFO 的IP核记录下建立的过程.

2018-11-15 23:53:04 384

原创 FPGA verilog学习笔记(三)Test bench文件

完整的测试文件激起结构为:module Test_bench();//通常无输入输出信号或变量声明定义逻辑设计中输入对应reg型逻辑设计中输出对应wire型使用initial或always语句产生激励例化待测试模块监控和比较输出响应endmodule时钟激励设计时钟激励产生方法一:50%占空比时钟parameter ClockPeriod = 10;initial be...

2018-11-07 23:31:21 1278

原创 FPGA verilog学习笔记(二)命名规则

学习《ZYNQ SOC 修炼秘籍》记录笔记1、顶层文件: 对象+功能+top 例:video_oneline_top2、逻辑控制文件:介于顶层和驱动层文件之间 对象+ctr 例:ddr_ctr.v3、驱动程序命名: 对象+功能+dri 例:led_dri.v、uart_rxd_dri.v4、参数文件命名: 对象+para 例:lcd_para.v5、模块接口命名:文件名+u 例...

2018-11-05 14:42:01 2002

原创 DSP学习(1)XP虚拟机 CCS3.3安装教程

老师推荐的学习软件为CCS3.3,由于windows10下不能安装此软件,于是需要安装虚拟机在XP系统下安装运行1.安装VMware,装XP下载VMware,下载XP镜像系统文件ios,进行安装(破解版有需要的同学可以留言邮箱我私发给你)具体安装过程百度一大堆,安装运行VMware过程中可能会遇到一些问题,比如:注:解决方案,重启电脑,在进入系统前,按住Fn+F2进入BIOS,选择adv...

2018-10-30 20:28:15 4159 13

原创 verilog学习笔记(一)常见错误的解决

(1)Error (10161): Verilog HDL error at ****.v(261): object "****" is not declared没有定义的数据类型,进行定义就行,比如  reg link_nf;

2018-10-24 22:57:06 13453 1

原创 Arduino与MATLAB实现串口通信来画图

自己编好Arduino的程序,需要在MATLAB中调用串口,读取数据,我们就需要用到MATLAB中的串口对象serial。比如读取传感器的值来绘图、处理摄像头等等。 简单的读取传感器的电压值Arduino程序int analogPin = 5; int val = 0; void setup(...

2018-10-13 13:00:31 10975 7

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除